System informatyczny (SI)

Wielkość: px
Rozpocząć pokaz od strony:

Download "System informatyczny (SI)"

Transkrypt

1 Projektowane systemów nformatycznych System nformatyczny (SI) System oprogramowana (software) Program1 Program2... ProgramN PC Platforma sprzętowa (hardware) K P DSP FPGA ASIC Archtektura systemu SISD GPU SIMD MISD MIMD

2 Wstęp. Możlwośc technolog VLSI Osągnęca technolog VLSI jej wpływ na archtektury metody projektowana systemów komputerowych ( nformatycznych) Wymagana rynku wobec systemów komputerowych (SK): wzrost wydajnośc; wzrost wymagań dot. mocy poberanej przez SK; coraz wększe wykorzystane systemów wbudowanych (meszanych systemów analogowo-cyfrowych); zwększene jakośc projektowana SK. 2

3 Wstęp. Możlwośc technolog VLSI Nowoczesna technologa VLSI: 0,09 m ponad 80 mln bramek w 1 układze scalonym 0,065 m ponad 150 mln bramek/układ (ponad 500 mln tranzystorów) 0,045 m=45nm ponad 10 9 (mlard) tranzystorów możlwość umeszczena całego systemu w jednym układze SoC (System-on-Chp) Podstawowy problem: Zagospodarowane tak ogromnych resursów sprzętowych uwzględnając ogranczena: na poberaną moc oraz na czas projektowana z zachowanem wymaganej wydajnośc 3

4 Dlaczego warto? (tworzyć SoC) SoC frmy Texas Instruments dla telefon komórkowej technologa DRP -90nm cyfrowe analogowe układy radowe procesor DSP układy sterowana zaslanem układy pomocncze 4

5 W = 25 Dlaczego warto? (tworzyć SoC) c.d. mnaturyzacja pole kontaktowe nezawodność ( pad ) obnżene poboru mocy P~(U 2, f, C) W = 1 V DD zmnejszene lczby osobnych kontaktowe ( pad ) układów scalonych Gnd W = 5 V DD Gnd V DD pole zmnejszene lczby Gnd ln sygnałowych ch pojemnośc zwększene częstotlwośc zegara f~(1/c) elmnacja welu buforów I/O obnżene kosztów produkcj jednolty cykl procesów produkcyjnych 5

6 Projektowane jednoukładowych SK Co robć z 1 mlardem tranzystorów? Jaką platformę sprzętową wybrać? Jaka archtektura systemu będze najbardzej efektywna? Jak podzelć zadana (funkcje) systemu pomędzy software hardware? 6

7 Projektowane jednoukładowych SK, c.d. Wymagana rynku wobec systemów komputerowych: wzrost wydajnośc; zmnejszene pobóru mocy; Intel Core 2 Duo Extreme Edton X6800-2,93 GHz, FSB 1066 MHz, 4 MB L2 Cache, 75 W Intel Core 2 Extreme Quad QX6700-2,66 GHz, 286 mm2, 582 mln tranzystorów, 130 W równoległe przetwarzane danych specjalstyczna platforma sprzętowa stosowane nowoczesnych technolog VLSI 7

8 Projektowane jednoukładowych SK, c.d. Ogranczena stare wobec projektów SK: Moc jest za darmo, tranzystory są droge; Zaps (odczyt) danych jest szybk, mnożene jest wolne; Zwększene wydajnośc poprzez zwększene częstotlwośc zegara oraz wprowadzene równoległośc na pozome nstrukcj - IPL (za pomocą rozbudowanego komplatora przewdywane skoków, zmana kolejnośc wykonana rozkazów, td.) Ogranczena obecne wobec projektów SK: Tranzystory są za darmo, moc jest droga (PowerWall); Mnożene jest szybke, zaps/odczyt danych jest wolny (MemoryWall) ; Zwększene wydajnośc poprzez wprowadzene równoległych jednostek przetwarzających (multcore processors, processor arrays and parallel algorthms) (IPLWall). 8

9 Projektowane SK Power Wall 9

10 Projektowane SK Power Wall, c.d. Prawo Moore a: wydajność pojedynczego procesora podwaja sę co 18 mesęcy już ne dzała!!! 10

11 Projektowane SK nowe platformy sprzętowe Wymagana wobec projektów systemów komputerowych: wzrost wydajnośc; zmnejszene poboru mocy; równoległe przetwarzane danych specjalstyczna platforma sprzętowa stosowane nowoczesnych technolog VLSI 11

12 Projektowane SK główna dea przyspeszena: równoległość potokowość 12

13 Nowe platformy sprzętowe: GPU FPGA Graphcs Processng Unts (GPU Nvda, AMD, Intel, ) Intel Larrabee NVda GeForce (Tesla) Układy FPGA (Altera, Xlnx, ) 13

14 Nowe platformy sprzętowe: GPU FPGA GPGPU General Purpose computng on Graphcs Graphc Processng Processor Unts Unt (Nvda, AMD, Intel, ) 14

15 Nowe platformy sprzętowe: budowa CPU Intel a GPU NVda 15

16 Nowe platformy sprzętowe: CPU Intel a GPU NVda Zalety GPU: Wększy stosunek wydajność/powerzchna_układu; Wększy stosunek wydajność/pobór_mocy (Równoległość jest bardzej energetyczne efektywną formą zwększena wydajnośc nż podnoszene częstotlwośc zegara); Prostsze zarządzane energą (zaslanem poszczególnych fragmentów układu); Mnejsza cena defektów w układze; Szybsze łatwejsze projektowane weryfkacja 16

17 Budowa uproszczona GPU Nvda GeForce 17

18 NVda CUDA programowane, zastosowane 18

19 NVda CUDA programowane, zastosowane (c.d.) wykorzystane GPU CUDA w narzędzach programstycznych znanych frm (np. Adobe Photoshop); rozszerzene języka C++ (C for CUDA); darmowy komplator C sterownk (drver) wspołpracujacy z OpenGL DrectX; bblotek przyklady na CUDA Zone 19

20 Porównane maksymalnej wydajnośc GPU NVda a CPU Intel: 20

21 Hybryda: Intel Larrabee Podstawa Multtreaded Wde SIMD Processors: zmodyfkowane ( uproszczone) P Pentum; Larrabee - to hybryda zawerająca w sobe najlepsze cechy CPU GPU; możlwość uruchomena zwyczajnego kodu x86; 1024-btowa magstrala, 64-btowe dane. 21

22 Zasoby nowoczesnych układów FPGA rekonfgurowalny programowo układ (<1s); ponad 1000rekonfgurowalnych komórek; wbudowane szybke (ponad 500 MHz) blok mnożena stałoprzecnkowego 18x24 btów z akumulatoram 48-btowym; wbudowane blok pamęc RAM /lub FIFO (18Kb każdy); dowolna szerokość format przetwarzanych danych; przecętny pobór mocy 20-30W. 22

23 Wydajność FPGA Źródło: Magnus Peterson. FPGA Acceleraton for outstandng performance Challenges and Opportuntes. PPAM Synectve Labs AB 23

24 FPGA jako koprocesor w SK Źródło: Magnus Peterson. FPGA Acceleraton for outstandng performance Challenges and Opportuntes. PPAM Synectve Labs AB 24

25 Płyta główna z 2xCPU Opteron oraz 2xFPGA Źródło: Magnus Peterson. FPGA Acceleraton for outstandng performance Challenges and Opportuntes. PPAM Synectve Labs AB 25

26 Sposoby zwększena jakośc projektowana - nowoczesne tendencje w projektowanu SoC Tendencje w projektowanu: wykorzystane gotowych projektów dla wększośc podukładów systemu (IPcore); hardware-software codesgn; zautomatyzowane procesu projektowana na wszystkch pozomach (szczególne na pozome strukturalnym); realzacja technologcznych wysp (nna technologa, napęce zaslana, częstotlwość zegara, td.); równoległe przetwarzane danych; mnmalzacja poboru mocy na pozomach projektowana strukturalnym logcznym. IP Core Generator Generc values 1 Generc values 2 Generc values 3 Formy IP-core : Hard-core (pozom topograf układu); Frm-core (pozom netlsty, np. format EDIF) Soft-core (pozom VHDL) 26

27 Nowoczesne tendencje w projektowanu SoC: (IP-core) Formy IP-core : Hard-core (pozom topograf układu); Frm-core (pozom netlsty, np. format EDIF) Soft-core (pozom HDL) 27

28 Nowoczesne tendencje w projektowanu SoC (codesgn) Tendencje w projektowanu: wykorzystane gotowych bloków ( komponentów IP-core); hardware-software codesgn; zautomatyzowane procesu projektowana na wszystkch pozomach (szczególne na pozome strukturalnym); realzacja technologcznych wysp-obszarów (nna technologa, napęce zaslana, częstotlwość zegara, td.); równoległe przetwarzane danych; mnmalzacja poboru mocy na pozomach projektowana strukturalnym logcznym. Cechy charakterystyczne: równoczesne projektowane częśc sprzętowej programowej systemu; proces teracyjny; cągła współpraca zespołów projektowych; odnalezene właścwego podzału funkcj systemu na 2 zbory: realzowane sprzętowo programowo; wykorzystane obszarów reprogramowalnych. 28

29 Nowoczesne tendencje w projektowanu SoC (automatyzacja) Tendencje w projektowanu: wykorzystane gotowych bloków ( komponentów IP-core); hardware-software codesgn; zautomatyzowane procesu projektowana na wszystkch pozomach (szczególne na pozome strukturalnym); realzacja technologcznych wysp-obszarów (nna technologa, napęce zaslana, częstotlwość zegara, td.); równoległe przetwarzane danych; mnmalzacja poboru mocy na pozomach projektowana strukturalnym logcznym. Automatyzacja projektowana na pozomach logcznym nższych: dobrze opracowane podstawy metodologczne; szerok wybór środowsk CAD; projektowane weryfkacja są bardzo czasochłonne. Projektowane strukturalne: szybsze projektowane; znaczne szybsza weryfkacja projektu; brak efektywnych metod projektowana środowsk CAD. 29

30 Nowoczesne tendencje w projektowanu SoC (wyspy technologczne) Tendencje w projektowanu: wykorzystane gotowych bloków ( komponentów IP-core); hardware-software codesgn; zautomatyzowane procesu projektowana na wszystkch pozomach (szczególne na pozome strukturalnym); realzacja technologcznych wysp-obszarów (nna technologa, napęce zaslana, częstotlwość zegara, td.) w tym obszarów reprogramowalnych; równoległe przetwarzane danych; mnmalzacja poboru mocy na pozomach projektowana strukturalnym logcznym. Zalety: możlwość ntegracj różnych komponentów hard -core na jednym podłożu; mnmalzacja poboru mocy poprzez tworzene wysp z różnym napęcem zaslana o różnej strukturze (np. RAM); Realzacja obszarów reprogramowalnych: wększa funkcjonalność nezawodność układu; możlwość modyfkacj; ułatwene procesu projektowana; zmnejszene poboru mocy 30

31 Nowoczesne tendencje w projektowanu SoC (przetwarzane równoległe) Tendencje w projektowanu: wykorzystane gotowych bloków ( komponentów IP-core); hardware-software codesgn; zautomatyzowane procesu projektowana na wszystkch pozomach (szczególne na pozome strukturalnym); realzacja technologcznych wyspobszarów (nna technologa, napęce zaslana, częstotlwość zegara, td.); równoległe przetwarzane danych; mnmalzacja poboru mocy na pozomach projektowana strukturalnym logcznym. Zalety przetwarzana równoległego: zapewnene pożądanej wydajnośc systemu; mnejsza aktywność A przełączeń bramek w układze /lub mnejsza częstotlwość zegara systemowego. P~(U 2, f, C, A) f~(1/c) Mnmalzacja poboru mocy na pozome logcznym: wybór właścwych IP-core; sterowane częstotlwoścą zegara w poszczególnych blokach systemu; mnmalzacja długośc połączeń w układze. 31

32 Przykład. Realzacja szeregowa operacj bazowej FFT (o podstawe 2 z podzałem w czase) nr taktu ImC ImC ReC ReC ImB ReB Blok sterowana... ReW ImW ImW ReW MUX MUX SM ± SM ± A A+1 Re A B C Im A Re B Im B W ReC ReC ReW ImC ImW ImC A A +1 ImW ReW Re A 1 Re B ReC ReW ImC ImW Im A 1 Im B ReC ImW ImC ReW Parametry operacj bazowej 4 operacje mnożena; 8 operacj dodawana; Parametry urządzena: 1 blok mnożący; 2 sumatory; czas oblczeń - 4 takty. ReW ReC BS ImW ImC... ReB MUX MUX ImB MUX MUX MUX SM ± SM ± A A

33 ReW ReC BS Przykład (c.d.): Realzacja równoległa operacj bazowej FFT (przetwarzane równoległe)... ImW ImC ReB MUX MUX ImB MUX MUX MUX SM ± SM ± A A +1 P~(U 2, f, C, A) Parametry urządzena szeregowego: 1 blok mnożący; 2 sumatory ( + ); 10 rejestrów; 5 multplekserów; blok sterowana (7 wyjść); czas oblczeń - 4 takty. ReC ReB ImW ImB Parametry urządzena równoległego: 4 blok mnożące; 8 sumatorów ( + lub ); 10 rejestrów; czas oblczeń - 1 takt. ReW SM SM SM SM ImC SM SM SM SM ReA ReA+1 ImA ImA+1 33

34 Ogranczena nowoczesnej technolog VLSI spowodowane efektam fzycznym Technologe 0,18 m 0,13 m 90nm 65nm: wzrost rezystancj ln (śceżek) łączących bramk; wzrost pojemnośc C mędzy sąsednm lnam; zmnejszene napęca zaslana (nawet ponżej 1V). 2l 2l śceżk na warstwe metalzacj 2l 2l 2l 2l C C 34

35 Ogranczena nowoczesnej technolog VLSI spowodowane efektam fzycznym (c.d.) relatywne zmnejszene częstotlwośc pracy systemu Negatywne skutk stosowana zaawansowanych technolog VLSI: relatywny wzrost opóźnena sygnałów w lnach; wzrost prawdopodobeństwa powstana zakłóceń w pracy systemu relatywny wzrost wzajemnego oddzaływana sygnałów; wzrost różncy pozomu sygnału na początku końcu ln. WYNIK. Dodatkowe wymagane do projektantów SoC stosowane zasady lokalnośc regularnośc połączeń 35

36 Projektowane systemów SoC Wybór typu archtektury systemu SoC: lokalność regularność połączeń wewnętrznych; realzacja w obszarze reprogramowalnym układu scalonego; regularne algorytmy przetwarzana danych; równoległe przetwarzane danych. Wynk: Archtektury macerzy procesorowych Najwększy współczynnk wydajność/złożoność sprzętowa wśród równoległych archtektur nnych typów Istneją teoretyczne podstawy systematycznego projektowana MP (metody odwzorowana algorytmów regularnych) 36

37 Przykładowe archtektury macerzy procesorowych 37

38 Przykładowe archtektury macerzy procesorowych m =1 m =2 m =

39 Zagadnena podstawowe wykładu projektu : opracowane programów równoległych (wykład); zapoznane sę ze sposobam projektowana potokowych jednostek przetwarzających dla systemów SoC (wykład); zapoznane sę z analtycznym metodam projektowana równoległych jednostek przetwarzających dla systemów SoC na pozome strukturalnym (wykład); realzacja praktyczna (w tym komputerowa) w/w metod (projekt zespołowy). Założene wstępne : podstawowym archtekturam jednostek przetwarzających dla systemów SoC są archtektury macerzy procesorowych. 39

System informatyczny (SI)

System informatyczny (SI) Projektowane systemów komputerowych System nformatyczny (SI) System oprogramowana (software) Platforma sprzętowa (hardware) Archtektura systemu Program Program... ProgramN PC µp, µk µp DSP FPGA ASIC SISD

Bardziej szczegółowo

Zadanie na wykonanie Projektu Zespołowego

Zadanie na wykonanie Projektu Zespołowego Zadane na wykonane Projektu Zespołowego Celem projektu jest uzyskane następującego szeregu umejętnośc praktycznych: umejętnośc opracowana równoległych wersj algorytmów (na przykładze algorytmów algebry

Bardziej szczegółowo

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O Budowa komputera Magistrala Procesor Pamięć Układy I/O 1 Procesor to CPU (Central Processing Unit) centralny układ elektroniczny realizujący przetwarzanie informacji Zmiana stanu tranzystorów wewnątrz

Bardziej szczegółowo

Sprzęt komputerowy 2. Autor prezentacji: 1 prof. dr hab. Maria Hilczer

Sprzęt komputerowy 2. Autor prezentacji: 1 prof. dr hab. Maria Hilczer Sprzęt komputerowy 2 Autor prezentacji: 1 prof. dr hab. Maria Hilczer Budowa komputera Magistrala Procesor Pamięć Układy I/O 2 Procesor to CPU (Central Processing Unit) centralny układ elektroniczny realizujący

Bardziej szczegółowo

Sprzęt komputerowy 2. Autor prezentacji: 1 prof. dr hab. Maria Hilczer

Sprzęt komputerowy 2. Autor prezentacji: 1 prof. dr hab. Maria Hilczer Sprzęt komputerowy 2 Autor prezentacji: 1 prof. dr hab. Maria Hilczer Budowa komputera Magistrala Procesor Pamięć Układy I/O 2 Procesor to CPU (Central Processing Unit) centralny układ elektroniczny realizujący

Bardziej szczegółowo

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O Budowa komputera Magistrala Procesor Pamięć Układy I/O 1 Procesor to CPU (Central Processing Unit) centralny układ elektroniczny realizujący przetwarzanie informacji Zmiana stanu tranzystorów wewnątrz

Bardziej szczegółowo

Urządzenia wejścia-wyjścia

Urządzenia wejścia-wyjścia Urządzena wejśca-wyjśca Klasyfkacja urządzeń wejśca-wyjśca. Struktura mechanzmu wejśca-wyjśca (sprzętu oprogramowana). Interakcja jednostk centralnej z urządzenam wejśca-wyjśca: odpytywane, sterowane przerwanam,

Bardziej szczegółowo

PROGRAMOWANIE WSPÓŁCZESNYCH ARCHITEKTUR KOMPUTEROWYCH DR INŻ. KRZYSZTOF ROJEK

PROGRAMOWANIE WSPÓŁCZESNYCH ARCHITEKTUR KOMPUTEROWYCH DR INŻ. KRZYSZTOF ROJEK 1 PROGRAMOWANIE WSPÓŁCZESNYCH ARCHITEKTUR KOMPUTEROWYCH DR INŻ. KRZYSZTOF ROJEK POLITECHNIKA CZĘSTOCHOWSKA 2 Trendy rozwoju współczesnych procesorów Budowa procesora CPU na przykładzie Intel Kaby Lake

Bardziej szczegółowo

Larrabee GPGPU. Zastosowanie, wydajność i porównanie z innymi układami

Larrabee GPGPU. Zastosowanie, wydajność i porównanie z innymi układami Larrabee GPGPU Zastosowanie, wydajność i porównanie z innymi układami Larrabee a inne GPU Różnią się w trzech podstawowych aspektach: Larrabee a inne GPU Różnią się w trzech podstawowych aspektach: Larrabee

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Wykład 12 Jan Kazimirski 1 Magistrale systemowe 2 Magistrale Magistrala medium łączące dwa lub więcej urządzeń Sygnał przesyłany magistralą może być odbierany przez wiele urządzeń

Bardziej szczegółowo

Zapoznanie z technikami i narzędziami programistycznymi służącymi do tworzenia programów współbieżnych i obsługi współbieżności przez system.

Zapoznanie z technikami i narzędziami programistycznymi służącymi do tworzenia programów współbieżnych i obsługi współbieżności przez system. Wstęp Zapoznanie z technikami i narzędziami programistycznymi służącymi do tworzenia programów współbieżnych i obsługi współbieżności przez system. Przedstawienie architektur sprzętu wykorzystywanych do

Bardziej szczegółowo

Wydajność systemów a organizacja pamięci. Krzysztof Banaś, Obliczenia wysokiej wydajności. 1

Wydajność systemów a organizacja pamięci. Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Wydajność systemów a organizacja pamięci Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Motywacja - memory wall Krzysztof Banaś, Obliczenia wysokiej wydajności. 2 Organizacja pamięci Organizacja pamięci:

Bardziej szczegółowo

Technika mikroprocesorowa. Linia rozwojowa procesorów firmy Intel w latach

Technika mikroprocesorowa. Linia rozwojowa procesorów firmy Intel w latach mikrokontrolery mikroprocesory Technika mikroprocesorowa Linia rozwojowa procesorów firmy Intel w latach 1970-2000 W krótkim pionierskim okresie firma Intel produkowała tylko mikroprocesory. W okresie

Bardziej szczegółowo

Architektura mikroprocesorów TEO 2009/2010

Architektura mikroprocesorów TEO 2009/2010 Architektura mikroprocesorów TEO 2009/2010 Plan wykładów Wykład 1: - Wstęp. Klasyfikacje mikroprocesorów Wykład 2: - Mikrokontrolery 8-bit: AVR, PIC Wykład 3: - Mikrokontrolery 8-bit: 8051, ST7 Wykład

Bardziej szczegółowo

RDZEŃ x86 x86 rodzina architektur (modeli programowych) procesorów firmy Intel, należących do kategorii CISC, stosowana w komputerach PC,

RDZEŃ x86 x86 rodzina architektur (modeli programowych) procesorów firmy Intel, należących do kategorii CISC, stosowana w komputerach PC, RDZEŃ x86 x86 rodzina architektur (modeli programowych) procesorów firmy Intel, należących do kategorii CISC, stosowana w komputerach PC, zapoczątkowana przez i wstecznie zgodna z 16-bitowym procesorem

Bardziej szczegółowo

Katedra Systemów Elektroniki Morskiej. Specjalność Systemy elektroniki morskiej

Katedra Systemów Elektroniki Morskiej. Specjalność Systemy elektroniki morskiej Katedra Systemów Elektroniki Morskiej Specjalność Systemy elektroniki morskiej Dlaczego Systemy Elektroniki Morskiej? W ramach naszej specjalności poznacie zagadnienia związane z systemami czasu rzeczywistego

Bardziej szczegółowo

Podręcznik użytkownika PCI-x Karta przechwytująca 4xHDMI

Podręcznik użytkownika PCI-x Karta przechwytująca 4xHDMI Podręcznik użytkownika PCI-x Karta przechwytująca 4xHDMI Spis treści 1. Specyfikacja... 3 1.1 Cechy:... 3 1.2 Rozdzielczość wideo na wejściu :... 3 1.3 Zawartość opakowania... 3 1.4 Wymagania systemowe...

Bardziej szczegółowo

Architektury komputerów Architektury i wydajność. Tomasz Dziubich

Architektury komputerów Architektury i wydajność. Tomasz Dziubich Architektury komputerów Architektury i wydajność Tomasz Dziubich Przetwarzanie potokowe Przetwarzanie sekwencyjne Przetwarzanie potokowe Architektura superpotokowa W przetwarzaniu potokowym podczas niektórych

Bardziej szczegółowo

Budowa Mikrokomputera

Budowa Mikrokomputera Budowa Mikrokomputera Wykład z Podstaw Informatyki dla I roku BO Piotr Mika Podstawowe elementy komputera Procesor Pamięć Magistrala (2/16) Płyta główna (ang. mainboard, motherboard) płyta drukowana komputera,

Bardziej szczegółowo

Systemy wbudowane. Paweł Pełczyński ppelczynski@swspiz.pl

Systemy wbudowane. Paweł Pełczyński ppelczynski@swspiz.pl Systemy wbudowane Paweł Pełczyński ppelczynski@swspiz.pl 1 Program przedmiotu Wprowadzenie definicja, zastosowania, projektowanie systemów wbudowanych Mikrokontrolery AVR Programowanie mikrokontrolerów

Bardziej szczegółowo

Wydajność systemów a organizacja pamięci. Krzysztof Banaś, Obliczenia wysokiej wydajności. 1

Wydajność systemów a organizacja pamięci. Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Wydajność systemów a organizacja pamięci Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Wydajność obliczeń Dla wielu programów wydajność obliczeń można traktować jako wydajność pobierania z pamięci

Bardziej szczegółowo

Architektura Systemów Komputerowych. Rozwój architektury komputerów klasy PC

Architektura Systemów Komputerowych. Rozwój architektury komputerów klasy PC Architektura Systemów Komputerowych Rozwój architektury komputerów klasy PC 1 1978: Intel 8086 29tys. tranzystorów, 16-bitowy, współpracował z koprocesorem 8087, posiadał 16-bitową szynę danych (lub ośmiobitową

Bardziej szczegółowo

Wykład 6. Mikrokontrolery z rdzeniem ARM

Wykład 6. Mikrokontrolery z rdzeniem ARM Wykład 6 Mikrokontrolery z rdzeniem ARM Plan wykładu Cortex-A9 c.d. Mikrokontrolery firmy ST Mikrokontrolery firmy NXP Mikrokontrolery firmy AnalogDevices Mikrokontrolery firmy Freescale Mikrokontrolery

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Wykład 7 Jan Kazimirski 1 Pamięć podręczna 2 Pamięć komputera - charakterystyka Położenie Procesor rejestry, pamięć podręczna Pamięć wewnętrzna pamięć podręczna, główna Pamięć zewnętrzna

Bardziej szczegółowo

Programowanie z wykorzystaniem technologii CUDA i OpenCL Wykład 1

Programowanie z wykorzystaniem technologii CUDA i OpenCL Wykład 1 Programowanie z wykorzystaniem technologii CUDA i OpenCL Wykład 1 Organizacja przedmiotu Dr inż. Robert Banasiak Dr inż. Paweł Kapusta 1 2 Nasze kompetencje R n D Tomografia 3D To nie tylko statyczny obraz!

Bardziej szczegółowo

SYSTEMY WBUDOWANE CZASU RZECZYWISTEGO. Specjalność magisterska Katedry Systemów Elektroniki Morskiej

SYSTEMY WBUDOWANE CZASU RZECZYWISTEGO. Specjalność magisterska Katedry Systemów Elektroniki Morskiej SYSTEMY WBUDOWANE CZASU RZECZYWISTEGO Specjalność magisterska Katedry Systemów Elektroniki Morskiej Co to jest system wbudowany czasu rzeczywistego? Komputer - część większego systemu wykonuje skończoną

Bardziej szczegółowo

Komputer IBM PC niezależnie od modelu składa się z: Jednostki centralnej czyli właściwego komputera Monitora Klawiatury

Komputer IBM PC niezależnie od modelu składa się z: Jednostki centralnej czyli właściwego komputera Monitora Klawiatury 1976 r. Apple PC Personal Computer 1981 r. pierwszy IBM PC Komputer jest wart tyle, ile wart jest człowiek, który go wykorzystuje... Hardware sprzęt Software oprogramowanie Komputer IBM PC niezależnie

Bardziej szczegółowo

Wykorzystanie układów FPGA w implementacji systemów bezpieczeństwa sieciowego typu Firewall

Wykorzystanie układów FPGA w implementacji systemów bezpieczeństwa sieciowego typu Firewall Grzegorz Sułkowski, Maciej Twardy, Kazimierz Wiatr Wykorzystanie układów FPGA w implementacji systemów bezpieczeństwa sieciowego typu Firewall Plan prezentacji 1. Architektura Firewall a załoŝenia 2. Punktu

Bardziej szczegółowo

Zapis informacji, systemy pozycyjne 1. Literatura Jerzy Grębosz, Symfonia C++ standard. Harvey M. Deitl, Paul J. Deitl, Arkana C++. Programowanie.

Zapis informacji, systemy pozycyjne 1. Literatura Jerzy Grębosz, Symfonia C++ standard. Harvey M. Deitl, Paul J. Deitl, Arkana C++. Programowanie. Zaps nformacj, systemy pozycyjne 1 Lteratura Jerzy Grębosz, Symfona C++ standard. Harvey M. Detl, Paul J. Detl, Arkana C++. Programowane. Zaps nformacj w komputerach Wszystke elementy danych przetwarzane

Bardziej szczegółowo

Metody optymalizacji soft-procesorów NIOS

Metody optymalizacji soft-procesorów NIOS POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Warszawa, 27.01.2011

Bardziej szczegółowo

Systemy na Chipie. Robert Czerwiński

Systemy na Chipie. Robert Czerwiński Systemy na Chipie Robert Czerwiński Cel kursu Celem kursu jest zapoznanie słuchaczy ze współczesnymi metodami projektowania cyfrowych układów specjalizowanych, ze szczególnym uwzględnieniem układów logiki

Bardziej szczegółowo

Układy logiczne układy cyfrowe

Układy logiczne układy cyfrowe Układy logiczne układy cyfrowe Jak projektować układy cyfrowe (systemy cyfrowe) Układy arytmetyki rozproszonej filtrów cyfrowych Układy kryptograficzne X Selektor ROM ROM AND Specjalizowane układy cyfrowe

Bardziej szczegółowo

± Δ. Podstawowe pojęcia procesu pomiarowego. x rzeczywiste. Określenie jakości poznania rzeczywistości

± Δ. Podstawowe pojęcia procesu pomiarowego. x rzeczywiste. Określenie jakości poznania rzeczywistości Podstawowe pojęca procesu pomarowego kreślene jakośc poznana rzeczywstośc Δ zmerzone rzeczywste 17 9 Zalety stosowana elektrycznych przyrządów 1/ 1. możlwość budowy czujnków zamenających werne każdą welkość

Bardziej szczegółowo

Stanisław Cichocki. Natalia Nehrebecka. Wykład 6

Stanisław Cichocki. Natalia Nehrebecka. Wykład 6 Stansław Cchock Natala Nehrebecka Wykład 6 1 1. Interpretacja parametrów przy zmennych objaśnających cągłych Semelastyczność 2. Zastosowane modelu potęgowego Model potęgowy 3. Zmenne cągłe za zmenne dyskretne

Bardziej szczegółowo

architektura komputerów w. 3 Arytmetyka komputerów

architektura komputerów w. 3 Arytmetyka komputerów archtektura komputerów w. 3 Arytmetyka komputerów Systemy pozycyjne - dodawane w systeme dwójkowym 100101011001110010101 100111101000001000 0110110011101 1 archtektura komputerów w 3 1 Arytmetyka bnarna.

Bardziej szczegółowo

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara Elektronika cyfrowa i mikroprocesory Dr inż. Aleksander Cianciara Sprawy organizacyjne Warunki zaliczenia Lista obecności Kolokwium końcowe Ocena końcowa Konsultacje Poniedziałek 6:-7: Kontakt Budynek

Bardziej szczegółowo

Wydajność systemów a organizacja pamięci. Krzysztof Banaś, Obliczenia wysokiej wydajności. 1

Wydajność systemów a organizacja pamięci. Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Wydajność systemów a organizacja pamięci Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Wydajność obliczeń Dla wielu programów wydajność obliczeń można traktować jako wydajność pobierania z pamięci

Bardziej szczegółowo

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11 Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1 Spis treúci Przedmowa... 9 Wstęp... 11 1. Komputer PC od zewnątrz... 13 1.1. Elementy zestawu komputerowego... 13 1.2.

Bardziej szczegółowo

Architektura systemów komputerowych. Przetwarzanie potokowe I

Architektura systemów komputerowych. Przetwarzanie potokowe I Architektura systemów komputerowych Plan wykładu. Praca potokowa. 2. Projekt P koncepcja potoku: 2.. model ścieżki danych 2.2. rejestry w potoku, 2.3. wykonanie instrukcji, 2.3. program w potoku. Cele

Bardziej szczegółowo

Budowa i zasada działania komputera. dr Artur Bartoszewski

Budowa i zasada działania komputera. dr Artur Bartoszewski Budowa i zasada działania komputera 1 dr Artur Bartoszewski Jednostka arytmetyczno-logiczna 2 Pojęcie systemu mikroprocesorowego Układ cyfrowy: Układy cyfrowe służą do przetwarzania informacji. Do układu

Bardziej szczegółowo

Katedra Mikroelektroniki i Technik Informatycznych

Katedra Mikroelektroniki i Technik Informatycznych Katedra Mikroelektroniki i Technik Informatycznych Bloki obieralne na kierunku Mechatronika rok akademicki 2013/2014 ul. Wólczańska 221/223, budynek B18 www.dmcs.p.lodz.pl Nowa siedziba Katedry 2005 2006

Bardziej szczegółowo

Weryfikacja hipotez dla wielu populacji

Weryfikacja hipotez dla wielu populacji Weryfkacja hpotez dla welu populacj Dr Joanna Banaś Zakład Badań Systemowych Instytut Sztucznej Intelgencj Metod Matematycznych Wydzał Informatyk Poltechnk Szczecńskej 5. Parametryczne testy stotnośc w

Bardziej szczegółowo

Literatura. 11/16/2016 Przetwarzanie równoległe - wstęp 1

Literatura. 11/16/2016 Przetwarzanie równoległe - wstęp 1 Literatura 1. Wprowadzenie do obliczeń równoległych, Zbigniew Czech, Wydawnictwo Naukowe PWN, 2010, 2013 2. Introduction to Parallel Computing; Grama, Gupta, Karypis, Kumar; Addison Wesley 2003 3. Designing

Bardziej szczegółowo

Realizacja logiki szybkiego przeniesienia w prototypie prądowym układu FPGA Spartan II

Realizacja logiki szybkiego przeniesienia w prototypie prądowym układu FPGA Spartan II obert Berezowsk Natala Maslennkowa Wydzał Elektronk Poltechnka Koszalńska ul. Partyzantów 7, 75-4 Koszaln Mchał Bałko Przemysław Sołtan ealzacja logk szybkego przenesena w prototype prądowym układu PG

Bardziej szczegółowo

16. Taksonomia Flynn'a.

16. Taksonomia Flynn'a. 16. Taksonomia Flynn'a. Taksonomia systemów komputerowych według Flynna jest klasyfikacją architektur komputerowych, zaproponowaną w latach sześćdziesiątych XX wieku przez Michaela Flynna, opierająca się

Bardziej szczegółowo

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Informacje ogólne Nazwa przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej Kod przedmiotu 06.5-WE-AiRP-PTCiM Wydział Kierunek Wydział

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Jednostki obliczeniowe w zastosowaniach mechatronicznych Kierunek: Mechatronika Rodzaj przedmiotu: dla specjalności Systemy Sterowania Rodzaj zajęć: Wykład, laboratorium Computational

Bardziej szczegółowo

Stanisław Cichocki. Natalia Nehrebecka. Wykład 6

Stanisław Cichocki. Natalia Nehrebecka. Wykład 6 Stansław Cchock Natala Nehrebecka Wykład 6 1 1. Zastosowane modelu potęgowego Model potęgowy Przekształcene Boxa-Coxa 2. Zmenne cągłe za zmenne dyskretne 3. Interpretacja parametrów przy zmennych dyskretnych

Bardziej szczegółowo

10/14/2013 Przetwarzanie równoległe - wstęp 1. Zakres przedmiotu

10/14/2013 Przetwarzanie równoległe - wstęp 1. Zakres przedmiotu Literatura 1. Introduction to Parallel Computing; Grama, Gupta, Karypis, Kumar; Addison Wesley 2003 2. Wprowadzenie do obliczeń równoległych, Zbigniew Czech, Wydawnictwo Naukowe PWN, 2010. 3. Designing

Bardziej szczegółowo

WikiWS For Business Sharks

WikiWS For Business Sharks WkWS For Busness Sharks Ops zadana konkursowego Zadane Opracowane algorytmu automatyczne przetwarzającego zdjęce odręczne narysowanego dagramu na tablcy lub kartce do postac wektorowej zapsanej w formace

Bardziej szczegółowo

Budowa komputera Komputer computer computare

Budowa komputera Komputer computer computare 11. Budowa komputera Komputer (z ang. computer od łac. computare obliczać) urządzenie elektroniczne służące do przetwarzania wszelkich informacji, które da się zapisać w formie ciągu cyfr albo sygnału

Bardziej szczegółowo

ZAJĘCIA WYBIERALNE KIERUNEK ELEKTRONIKA I TELEKOMUNIKACJA STUDIA NIESTACJONARNE

ZAJĘCIA WYBIERALNE KIERUNEK ELEKTRONIKA I TELEKOMUNIKACJA STUDIA NIESTACJONARNE ZAJĘCIA WYBIERALNE KIERUNEK ELEKTRONIKA I TELEKOMUNIKACJA STUDIA NIESTACJONARNE I-go STOPNIA maj 2016 STRUKTURA WYBORU sem. V sem. VI sem. VII sem. VIII p r z e d m i o t y k i e r u n k o w e blok obieralny

Bardziej szczegółowo

Jednostka centralna. Miejsca na napędy 5,25 :CD-ROM, DVD. Miejsca na napędy 3,5 : stacja dyskietek

Jednostka centralna. Miejsca na napędy 5,25 :CD-ROM, DVD. Miejsca na napędy 3,5 : stacja dyskietek Ćwiczenia 1 Budowa komputera PC Komputer osobisty (Personal Komputer PC) komputer (stacjonarny lub przenośny) przeznaczony dla pojedynczego użytkownika do użytku domowego lub biurowego. W skład podstawowego

Bardziej szczegółowo

Mikroprocesory rodziny INTEL 80x86

Mikroprocesory rodziny INTEL 80x86 Mikroprocesory rodziny INTEL 80x86 Podstawowe wła ciwo ci procesora PENTIUM Rodzina procesorów INTEL 80x86 obejmuje mikroprocesory Intel 8086, 8088, 80286, 80386, 80486 oraz mikroprocesory PENTIUM. Wprowadzając

Bardziej szczegółowo

Katedra Mikroelektroniki i Technik Informatycznych

Katedra Mikroelektroniki i Technik Informatycznych Katedra Mikroelektroniki i Technik Informatycznych Bloki obieralne na kierunku Elektronika i telekomunikacja rok akademicki 2013/2014 ul. Wólczańska 221/223, budynek B18 www.dmcs.p.lodz.pl Grupa bloków

Bardziej szczegółowo

Procesory. Schemat budowy procesora

Procesory. Schemat budowy procesora Procesory Procesor jednostka centralna (CPU Central Processing Unit) to sekwencyjne urządzenie cyfrowe którego zadaniem jest wykonywanie rozkazów i sterowanie pracą wszystkich pozostałych bloków systemu

Bardziej szczegółowo

Dom Oprogramowanie Sprzęt komputerowy Benchmarki Usługi Sklep Wsparcie Forum Strona główna CPU Benchmarki»procesory

Dom Oprogramowanie Sprzęt komputerowy Benchmarki Usługi Sklep Wsparcie Forum Strona główna CPU Benchmarki»procesory PassMark Intel vs AMD CPU Benchmarks - High End 1 Twój koszyk Poszukiwanie O Nas Dom Oprogramowanie Sprzęt komputerowy Benchmarki Usługi Sklep Wsparcie Forum Strona główna CPU Benchmarki»procesory Benchmarki

Bardziej szczegółowo

WPROWADZENIE Mikrosterownik mikrokontrolery

WPROWADZENIE Mikrosterownik mikrokontrolery WPROWADZENIE Mikrosterownik (cyfrowy) jest to moduł elektroniczny zawierający wszystkie środki niezbędne do realizacji wymaganych procedur sterowania przy pomocy metod komputerowych. Platformy budowy mikrosterowników:

Bardziej szczegółowo

Wybrane bloki i magistrale komputerów osobistych (PC) Opracował: Grzegorz Cygan 2010 r. CEZ Stalowa Wola

Wybrane bloki i magistrale komputerów osobistych (PC) Opracował: Grzegorz Cygan 2010 r. CEZ Stalowa Wola Wybrane bloki i magistrale komputerów osobistych (PC) Opracował: Grzegorz Cygan 2010 r. CEZ Stalowa Wola Ogólny schemat komputera Jak widać wszystkie bloki (CPU, RAM oraz I/O) dołączone są do wspólnych

Bardziej szczegółowo

Surveillance Client. Program kliencki CMS z IP Search/Finder Toolem. Silas Mariusz MERX Nawojowska 88B, 33 300 Nowy Sącz

Surveillance Client. Program kliencki CMS z IP Search/Finder Toolem. Silas Mariusz MERX Nawojowska 88B, 33 300 Nowy Sącz Surveillance Client Program kliencki CMS z IP Search/Finder Toolem Silas Mariusz MERX Nawojowska 88B, 33 300 Nowy Sącz CMS: MERX Surveillance Client Program kliencki do obsługi rejestratorów MERX DVRMX

Bardziej szczegółowo

STATECZNOŚĆ SKARP. α - kąt nachylenia skarpy [ o ], φ - kąt tarcia wewnętrznego gruntu [ o ],

STATECZNOŚĆ SKARP. α - kąt nachylenia skarpy [ o ], φ - kąt tarcia wewnętrznego gruntu [ o ], STATECZNOŚĆ SKARP W przypadku obektu wykonanego z gruntów nespostych zaprojektowane bezpecznego nachylena skarp sprowadza sę do przekształcena wzoru na współczynnk statecznośc do postac: tgφ tgα = n gdze:

Bardziej szczegółowo

Zakład Techniki Cyfrowej. Tematy prac dyplomowych na rok akademicki 2011-2012

Zakład Techniki Cyfrowej. Tematy prac dyplomowych na rok akademicki 2011-2012 Tematy prac dyplomowych na rok akademicki 2011-2012 Temat: Badanie właściwości pamięci hierarchicznych w systemach mikroprocesorowych Promotor: prof. dr hab. inż. Ryszard Pełka e-mail: rpelka@wel.wat.edu.pl,

Bardziej szczegółowo

Podstawy Informatyki Systemy sterowane przepływem argumentów

Podstawy Informatyki Systemy sterowane przepływem argumentów Podstawy Informatyki alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi Plan wykładu 1 Komputer i jego architektura Taksonomia Flynna 2 Komputer i jego architektura Taksonomia Flynna Komputer Komputer

Bardziej szczegółowo

Algorytmy dla maszyny PRAM

Algorytmy dla maszyny PRAM Instytut Informatyki 21 listopada 2015 PRAM Podstawowym modelem służącym do badań algorytmów równoległych jest maszyna typu PRAM. Jej głównymi składnikami są globalna pamięć oraz zbiór procesorów. Do rozważań

Bardziej szczegółowo

STATYSTYKA MATEMATYCZNA WYKŁAD 5 WERYFIKACJA HIPOTEZ NIEPARAMETRYCZNYCH

STATYSTYKA MATEMATYCZNA WYKŁAD 5 WERYFIKACJA HIPOTEZ NIEPARAMETRYCZNYCH STATYSTYKA MATEMATYCZNA WYKŁAD 5 WERYFIKACJA HIPOTEZ NIEPARAMETRYCZNYCH 1 Test zgodnośc χ 2 Hpoteza zerowa H 0 ( Cecha X populacj ma rozkład o dystrybuance F). Hpoteza alternatywna H1( Cecha X populacj

Bardziej szczegółowo

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011 Układy arytmetyczne Joanna Ledzińska III rok EiT AGH 2011 Plan prezentacji Metody zapisu liczb ze znakiem Układy arytmetyczne: Układy dodające Półsumator Pełny sumator Półsubtraktor Pełny subtraktor Układy

Bardziej szczegółowo

Wydajność systemów a organizacja pamięci, czyli dlaczego jednak nie jest aż tak źle. Krzysztof Banaś, Obliczenia wysokiej wydajności.

Wydajność systemów a organizacja pamięci, czyli dlaczego jednak nie jest aż tak źle. Krzysztof Banaś, Obliczenia wysokiej wydajności. Wydajność systemów a organizacja pamięci, czyli dlaczego jednak nie jest aż tak źle Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Organizacja pamięci Organizacja pamięci współczesnych systemów komputerowych

Bardziej szczegółowo

Literatura. 3/26/2018 Przetwarzanie równoległe - wstęp 1

Literatura. 3/26/2018 Przetwarzanie równoległe - wstęp 1 Literatura 1. Wprowadzenie do obliczeń równoległych, Zbigniew Czech, Wydawnictwo Naukowe PWN, 2010, 2013 2. Introduction to Parallel Computing; Grama, Gupta, Karypis, Kumar; Addison Wesley 2003 3. Designing

Bardziej szczegółowo

Technika mikroprocesorowa

Technika mikroprocesorowa Technika mikroprocesorowa zajmuje się przetwarzaniem danych w oparciu o cyfrowe programowalne układy scalone. Systemy przetwarzające dane w oparciu o takie układy nazywane są systemami mikroprocesorowymi

Bardziej szczegółowo

Systemy mikroprocesorowe i układy programowalne

Systemy mikroprocesorowe i układy programowalne Grupa bloków Systemy mikroprocesorowe i układy programowalne Katedra Mikroelektroniki i Technik Informatycznych Obszar zagadnień sprzęt sprzęt mikroprocesory SoC, systemy mobilne procesory sygnałowe mikrokontrolery

Bardziej szczegółowo

Mechatronika i inteligentne systemy produkcyjne. Modelowanie systemów mechatronicznych Platformy przetwarzania danych

Mechatronika i inteligentne systemy produkcyjne. Modelowanie systemów mechatronicznych Platformy przetwarzania danych Mechatronika i inteligentne systemy produkcyjne Modelowanie systemów mechatronicznych Platformy przetwarzania danych 1 Sterowanie procesem oparte na jego modelu u 1 (t) System rzeczywisty x(t) y(t) Tworzenie

Bardziej szczegółowo

Organizacja pamięci współczesnych systemów komputerowych : pojedynczy procesor wielopoziomowa pamięć podręczna pamięć wirtualna

Organizacja pamięci współczesnych systemów komputerowych : pojedynczy procesor wielopoziomowa pamięć podręczna pamięć wirtualna Pamięć Wydajność obliczeń Dla wielu programów wydajność obliczeń może być określana poprzez pobranie danych z pamięci oraz wykonanie operacji przez procesor Często istnieją algorytmy, których wydajność

Bardziej szczegółowo

Wykład I. Podstawowe pojęcia. Studia Podyplomowe INFORMATYKA Architektura komputerów

Wykład I. Podstawowe pojęcia. Studia Podyplomowe INFORMATYKA Architektura komputerów Studia Podyplomowe INFORMATYKA Architektura komputerów Wykład I Podstawowe pojęcia 1, Cyfrowe dane 2 Wewnątrz komputera informacja ma postać fizycznych sygnałów dwuwartościowych (np. dwa poziomy napięcia,

Bardziej szczegółowo

Stanisław Cichocki. Natalia Nehrebecka. Wykład 6

Stanisław Cichocki. Natalia Nehrebecka. Wykład 6 Stansław Cchock Natala Nehrebecka Wykład 6 1 1. Zastosowane modelu potęgowego Przekształcene Boxa-Coxa 2. Zmenne cągłe za zmenne dyskretne 3. Interpretacja parametrów przy zmennych dyskretnych 1. Zastosowane

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Tydzień 14 Procesory równoległe Klasyfikacja systemów wieloprocesorowych Luźno powiązane systemy wieloprocesorowe Każdy procesor ma własną pamięć główną i kanały wejścia-wyjścia.

Bardziej szczegółowo

Projektowanie. Projektowanie mikroprocesorów

Projektowanie. Projektowanie mikroprocesorów WYKŁAD Projektowanie mikroprocesorów Projektowanie układ adów w cyfrowych - podsumowanie Algebra Boole a Bramki logiczne i przerzutniki Automat skończony System binarny i reprezentacja danych Synteza logiczna

Bardziej szczegółowo

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Opiekun naukowy: dr

Bardziej szczegółowo

Home Software Hardware Benchmarks Services Store Support Forums About Us

Home Software Hardware Benchmarks Services Store Support Forums About Us Shopping cart Search Home Software Hardware Benchmarks Services Store Support Forums About Us Home» CPU Benchmarks» CPU List CPU Benchmarks Video Card Benchmarks Hard Drive Benchmarks RAM PC Systems Android

Bardziej szczegółowo

Układ sterowania, magistrale i organizacja pamięci. Dariusz Chaberski

Układ sterowania, magistrale i organizacja pamięci. Dariusz Chaberski Układ sterowania, magistrale i organizacja pamięci Dariusz Chaberski Jednostka centralna szyna sygnałow sterowania sygnały sterujące układ sterowania sygnały stanu wewnętrzna szyna danych układ wykonawczy

Bardziej szczegółowo

LEKCJA TEMAT: Współczesne procesory.

LEKCJA TEMAT: Współczesne procesory. LEKCJA TEMAT: Współczesne procesory. 1. Wymagania dla ucznia: zna pojęcia: procesor, CPU, ALU, potrafi podać typowe rozkazy; potrafi omówić uproszczony i rozszerzony schemat mikroprocesora; potraf omówić

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład 5 Legenda Procedura projektowania Podział układów VLSI 2 1 Procedura projektowania Specyfikacja Napisz, jeśli jeszcze nie istnieje, specyfikację układu. Opracowanie

Bardziej szczegółowo

Wykład 4. Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430

Wykład 4. Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430 Wykład 4 Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430 Mikrokontrolery PIC Mikrokontrolery PIC24 Mikrokontrolery PIC24 Rodzina 16-bitowych kontrolerów RISC Podział na dwie podrodziny: PIC24F

Bardziej szczegółowo

Architektura systemów komputerowych. dr Artur Bartoszewski

Architektura systemów komputerowych. dr Artur Bartoszewski Architektura systemów komputerowych dr Artur Bartoszewski Układy otoczenia procesora (chipset) Rozwiązania sprzętowe CHIPSET Podstawą budowy płyty współczesnego komputera PC jest Chipset. Zawiera on większość

Bardziej szczegółowo

Wykład 2. Mikrokontrolery z rdzeniami ARM

Wykład 2. Mikrokontrolery z rdzeniami ARM Źródło problemu 2 Wstęp Architektura ARM (Advanced RISC Machine, pierwotnie Acorn RISC Machine) jest 32-bitową architekturą (modelem programowym) procesorów typu RISC. Różne wersje procesorów ARM są szeroko

Bardziej szczegółowo

Karta (sylabus) modułu/przedmiotu

Karta (sylabus) modułu/przedmiotu Karta (sylabus) mułu/przedmotu Budownctwo (Nazwa kerunku studów) Studa I Stopna Przedmot: Materały budowlane II Constructon materals Rok: II Semestr: MK_26 Rzaje zajęć lczba gzn: Studa stacjonarne Studa

Bardziej szczegółowo

Technologie informacyjne Wykład I-III

Technologie informacyjne Wykład I-III Technologie informacyjne -III dr inż. A. Matuszak 23 lutego 2013 A. Matuszak (1) Technologie informacyjne -III Po co? Dla inżyniera komputer nie jest ani maszyną do pisania, ani nie służy rozrywce. A.

Bardziej szczegółowo

Programowanie procesorów graficznych GPGPU

Programowanie procesorów graficznych GPGPU Programowanie procesorów graficznych GPGPU 1 GPGPU Historia: lata 80 te popularyzacja systemów i programów z graficznym interfejsem specjalistyczne układy do przetwarzania grafiki 2D lata 90 te standaryzacja

Bardziej szczegółowo

Stanisław Cichocki. Natalia Nehrebecka. Wykład 7

Stanisław Cichocki. Natalia Nehrebecka. Wykład 7 Stansław Cchock Natala Nehrebecka Wykład 7 1 1. Zmenne cągłe a zmenne dyskretne 2. Interpretacja parametrów przy zmennych dyskretnych 1. Zmenne cągłe a zmenne dyskretne 2. Interpretacja parametrów przy

Bardziej szczegółowo

Układy FPGA. Programowalne Układy Cyfrowe dr inż. Paweł Russek

Układy FPGA. Programowalne Układy Cyfrowe dr inż. Paweł Russek Układy FPGA Programowalne Układy Cyfrowe dr inż. Paweł Russek Program wykładu Geneza Technologia Struktura Funktory logiczne, sieć połączeń, bloki we/wy Współczesne układy FPGA Porównanie z ASIC Literatura

Bardziej szczegółowo

PROJEKTOWANIE UKŁADÓW VLSI

PROJEKTOWANIE UKŁADÓW VLSI prof. dr hab. inż. Andrzej Kos Tel. 34.35, email: kos@uci.agh.edu.pl Pawilon C3, pokój 505 PROJEKTOWANIE UKŁADÓW VLSI Forma zaliczenia: egzamin Układy VLSI wczoraj i dzisiaj Pierwszy układ scalony -

Bardziej szczegółowo

Organizacja typowego mikroprocesora

Organizacja typowego mikroprocesora Organizacja typowego mikroprocesora 1 Architektura procesora 8086 2 Architektura współczesnego procesora 3 Schemat blokowy procesora AVR Mega o architekturze harwardzkiej Wszystkie mikroprocesory zawierają

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203. Szczegóły realizacji projektu indywidualnego W dr inż.

Programowanie Układów Logicznych kod kursu: ETD6203. Szczegóły realizacji projektu indywidualnego W dr inż. Programowanie Układów Logicznych kod kursu: ETD6203 Szczegóły realizacji projektu indywidualnego W1 24.02.2016 dr inż. Daniel Kopiec Projekt indywidualny TERMIN 1: Zajęcia wstępne, wprowadzenie TERMIN

Bardziej szczegółowo

Architektura potokowa RISC

Architektura potokowa RISC Architektura potokowa RISC Podział zadania na odrębne części i niezależny sprzęt szeregowe Brak nawrotów" podczas pracy potokowe Przetwarzanie szeregowe i potokowe Podział instrukcji na fazy wykonania

Bardziej szczegółowo

Elektrotechnika II Stopień (I stopień / II stopień) Ogólno akademicki (ogólno akademicki / praktyczny)

Elektrotechnika II Stopień (I stopień / II stopień) Ogólno akademicki (ogólno akademicki / praktyczny) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Programowanie niskopoziomowe. dr inż. Paweł Pełczyński ppelczynski@swspiz.pl

Programowanie niskopoziomowe. dr inż. Paweł Pełczyński ppelczynski@swspiz.pl Programowanie niskopoziomowe dr inż. Paweł Pełczyński ppelczynski@swspiz.pl 1 Literatura Randall Hyde: Asembler. Sztuka programowania, Helion, 2004. Eugeniusz Wróbel: Praktyczny kurs asemblera, Helion,

Bardziej szczegółowo

Nowoczesne technologie przetwarzania informacji

Nowoczesne technologie przetwarzania informacji Projekt Nowe metody nauczania w matematyce Nr POKL.09.04.00-14-133/11 Nowoczesne technologie przetwarzania informacji Mgr Maciej Cytowski (ICM UW) Lekcja 2: Podstawowe mechanizmy programowania równoległego

Bardziej szczegółowo

Programowanie Rozproszone i Równoległe

Programowanie Rozproszone i Równoległe Programowanie Rozproszone i Równoległe OpenMP (www.openmp.org) API do pisania wielowątkowych aplikacji Zestaw dyrektyw kompilatora oraz procedur bibliotecznych dla programistów Ułatwia pisanie programów

Bardziej szczegółowo

Specyfika projektowania Mariusz Rawski

Specyfika projektowania Mariusz Rawski CAD Specyfika projektowania Mariusz Rawski rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ System cyfrowy pierwsze skojarzenie Urządzenia wprowadzania danych: klawiatury czytniki urządzenia przetwarzania

Bardziej szczegółowo

Home Software Hardware Benchmarks Services Store Support Forums About Us

Home Software Hardware Benchmarks Services Store Support Forums About Us Pass - CPU Benchmarks - List of Benchmarked CPUs Shopping cart Search Home Software Hardware Benchmarks Services Store Support Forums About Us Home» CPU Benchmarks» CPU List CPU Benchmarks Video Card Benchmarks

Bardziej szczegółowo