System informatyczny (SI)

Wielkość: px
Rozpocząć pokaz od strony:

Download "System informatyczny (SI)"

Transkrypt

1 Projektowane systemów komputerowych System nformatyczny (SI) System oprogramowana (software) Platforma sprzętowa (hardware) Archtektura systemu Program Program... ProgramN PC µp, µk µp DSP FPGA ASIC SISD SIMD MISD MIMD...

2 Wstęp Osągnęca technolog VLSI jej wpływ na archtektury metody projektowana systemów komputerowych Wymagana rynku wobec systemów nformatycznych: wzrost wydajnośc systemów nformatycznych; realzacja meszanych systemów analogowocyfrowych; zwększene jakośc projektowana systemów komputerowych.

3 Wstęp. Możlwośc technolog VLSI Nowoczesna technologa VLSI: 0,09 µm 80 mln bramek w układze scalonym 0,065 µm ponad 00 mln bramek/układ (ponad 500 mln tranzystorów) możlwość umeszczena całego systemu w jednym układze SoC (System-on-Chp) Podstawowy problem: Zagospodarowane tak ogromnych resursów sprzętowych

4 Przykłady systemów jednoukładowych SoC frmy Texas Instruments dla telefon komórkowej technologa DRP -90nm cyfrowe analogowe układy radowe procesor DSP układy sterowana zaslanem układy pomocncze

5 Projektowane systemów komputerowych Co robć z mlardem tranzystorów? Jaką platformę sprzętową wybrać? Jaka archtektura systemu będze najbardzej efektywna? Jak podzelć zadana (funkcje) systemu pomędzy software hardware? 5

6 W = 5 Zalety systemów jednoukładowych mnaturyzacja pole kontaktowe nezawodność ( pad ) obnżene poboru mocy P~(U, f, C) W = VDD zmnejszene lczby osobnych kontaktowe ( pad ) układów scalonych Gnd W = 5 VDD Gnd V DD pole zmnejszene lczby Gnd ln sygnałowych ch pojemnośc zwększene częstotlwośc zegara f~(/c) elmnacja welu buforów I/O obnżene kosztów produkcj jednolty cykl procesów produkcyjnych 6

7 Projektowane systemów komputerowych Wymagana rynku wobec systemów nformatycznych: wzrost wydajnośc systemów nformatycznych; realzacja meszanych systemów analogowocyfrowych SoC; zwększene jakośc projektowana systemów. optymalzacja sprzętowa czasowa równoległe przetwarzane danych specjalstyczna platforma sprzętowa stosowane nowoczesnych technolog VLSI skrócene czasu projektowana weryfkacj systemu zgodność z zasadą projektowana bezbłędnego (ang. Frst-Tme-Rght) 7

8 Projektowane systemów komputerowych Wymagana rynku wobec systemów nformatycznych: wzrost wydajnośc systemów komputerowych; Intel Core Duo Extreme Edton X6800 -,9 GHz, FSB 066 MHz, MB L Cache, 75 W Intel Core Extreme Quad QX6700 -,66 GHz, 86 mm, 58 mln tranzystorów, 0 W równoległe przetwarzane danych specjalstyczna platforma sprzętowa stosowane nowoczesnych technolog VLSI 8

9 Projektowane systemów SoC Sposoby zwększena jakośc projektowana SoC: zautomatyzowane procesu projektowana weryfkacj; software-hardware codesgn; wykorzystane komponentów IP-core; realzacja w układze scalonym różnych technologcznych wysp (obszarów); realzacja obszarów reprogramowalnych. skrócene czasu projektowana weryfkacj systemu możlwość zmany archtektury jednostk przetwarzającej (po wyprodukowanu układu, a nawet w trakce pracy systemu) wększa funkcjonalność układu scalonego relatywne zmnejszene poboru mocy systemu 9

10 Nowoczesne tendencje w projektowanu SoC (IP-core) Tendencje w projektowanu: wykorzystane gotowych projektów dla wększośc podukładów systemu (IPcore); hardware-software codesgn; automatyzacja procesu projektowana na wszystkch pozomach (szczególne na pozome strukturalnym); realzacja technologcznych wysp (nna technologa, napęce zaslana, częstotlwość zegara, td.); równoległe przetwarzane danych; mnmalzacja poboru mocy na pozomach projektowana strukturalnym logcznym. IP Core Generator Generc values Generc values Generc values Formy IP-core : Hard-core (pozom topograf układu); Frm-core (pozom netlsty, np. format EDIF) Soft-core (pozom VHDL) 0

11 Nowoczesne tendencje w projektowanu SoC: (IP-core c.d.) Formy IP-core : Hard-core (pozom topograf układu); Frm-core (pozom netlsty, np. format EDIF) Soft-core (pozom HDL)

12 Nowoczesne tendencje w projektowanu SoC (codesgn) Tendencje w projektowanu: wykorzystane gotowych bloków ( komponentów IP-core); hardware-software codesgn; automatyzacja procesu projektowana na wszystkch pozomach (szczególne na pozome strukturalnym); realzacja technologcznych wysp-obszarów (nna technologa, napęce zaslana, częstotlwość zegara, td.); równoległe przetwarzane danych; mnmalzacja poboru mocy na pozomach projektowana strukturalnym logcznym. Cechy charakterystyczne: równoczesne projektowane częśc sprzętowej programowej systemu; proces teracyjny; cągła współpraca zespołów projektowych; odnalezene właścwego podzału funkcj systemu na zbory: realzowane sprzętowo programowo; wykorzystane obszarów reprogramowalnych.

13 Nowoczesne tendencje w projektowanu SoC (automatyzacja) Tendencje w projektowanu: wykorzystane gotowych bloków ( komponentów IP-core); hardware-software codesgn; automatyzacja procesu projektowana na wszystkch pozomach (szczególne na pozome strukturalnym); realzacja technologcznych wysp-obszarów (nna technologa, napęce zaslana, częstotlwość zegara, td.); równoległe przetwarzane danych; mnmalzacja poboru mocy na pozomach projektowana strukturalnym logcznym. Automatyzacja projektowana na pozomach logcznym nższych: dobrze opracowane podstawy metodologczne; szerok wybór środowsk CAD; projektowane weryfkacja są bardzo czasochłonne. Projektowane strukturalne: szybsze projektowane; znaczne szybsza weryfkacja projektu; brak efektywnych metod projektowana środowsk CAD.

14 Nowoczesne tendencje w projektowanu SoC (wyspy technologczne) Tendencje w projektowanu: wykorzystane gotowych bloków ( komponentów IP-core); hardware-software codesgn; automatyzacja procesu projektowana na wszystkch pozomach (szczególne na pozome strukturalnym); realzacja technologcznych wysp-obszarów (nna technologa, napęce zaslana, częstotlwość zegara, td.) w tym obszarów reprogramowalnych; równoległe przetwarzane danych; mnmalzacja poboru mocy na pozomach projektowana strukturalnym logcznym. Zalety: możlwość ntegracj różnych komponentów hard -core na jednym podłożu; mnmalzacja poboru mocy poprzez tworzene wysp z różnym napęcem zaslana o różnej strukturze (np. RAM); Realzacja obszarów reprogramowalnych: wększa funkcjonalność nezawodność układu; możlwość modyfkacj; ułatwene procesu projektowana; zmnejszene poboru mocy

15 Uproszczona struktura układu reprogramowalnego FPGA Xlnx O N - C H I P C O N F I G U R A T I O N M E M O R Y C O N F I G U R A T I O N S I G N A L S P r o g r a m m a b l e S w t c h M a t r x I O B I O B I O B I O B C L B C L B C L B I O B I O B C L B C L B C L B I O B pamęć konfguracyjna blok We/Wy komórk przełącznk I O B C L B C L B C L B I O B I O B I O B I O B 5

16 Uproszczona struktura układu reprogramowalnego Vrtex II Pro 6

17 Uproszczona struktura bloku procesorowego 7

18 Nowoczesne tendencje w projektowanu SoC (przetwarzane równoległe) Tendencje w projektowanu: wykorzystane gotowych bloków ( komponentów IP-core); hardware-software codesgn; automatyzacja procesu projektowana na wszystkch pozomach (szczególne na pozome strukturalnym); realzacja technologcznych wyspobszarów (nna technologa, napęce zaslana, częstotlwość zegara, td.); równoległe przetwarzane danych; mnmalzacja poboru mocy na pozomach projektowana strukturalnym logcznym. Zalety przetwarzana równoległego: zapewnene pożądanej wydajnośc systemu; mnejsza aktywność A przełączeń bramek w układze /lub mnejsza częstotlwość zegara systemowego. P~(U, f, C, A) f~(/c) Mnmalzacja poboru mocy na pozome logcznym: wybór właścwych IP-core; sterowane częstotlwoścą zegara w poszczególnych blokach systemu; mnmalzacja długośc połączeń w układze. 8

19 Przykład. Realzacja szeregowa operacj bazowej FFT (o o podstawe z podzałem w czase nr taktu ImC ImC ReC ReC ImB ReB Blok sterowana... ReW ImW ImW ReW MUX MUX SM ± SM ± A A+ Re A z podzałem w czase) B C Im A + = = Re B Im B + + W ReC ReC ReW ImW ImC + ImC A A + ImW ReW Re A = Re B ReC ReW + ImC ImW Im A = Im B Re C ImW ImC ReW + Parametry operacj bazowej operacje mnożena; 8 operacj dodawana; Parametry urządzena: blok mnożący; sumatory; czas oblczeń - takty. ReW ReC BS ImW ImC... ReB MUX MUX ImB MUX MUX MUX SM ± SM ± A A + 9

20 ReW ReC BS Przykład (c.d.): Realzacja równoległa operacj bazowej FFT... ImW ImC ReB MUX MUX ImB MUX MUX MUX SM SM FFT (przetwarzane równoległe) A A + P~(U, f, C, A) Parametry urządzena szeregowego: blok mnożący; sumatory ( + ); 0 rejestrów; 5 multplekserów; blok sterowana (7 wyjść); czas oblczeń - takty. ± ± ReC ReB ImW ImB ReW Parametry urządzena równoległego: blok mnożące; 8 sumatorów ( + lub ); 0 rejestrów; czas oblczeń - takt. SM SM SM SM ImC SM SM SM SM ReA ReA+ ImA ImA+ 0

21 Ogranczena nowoczesnej technolog VLSI spowodowane efektam fzycznym Technologe 0,8 µm 0,µm 90nm 65nm: wzrost rezystancj ln (śceżek) łączących bramk; wzrost pojemnośc C mędzy sąsednm lnam; zmnejszene napęca zaslana (nawet ponżej V). λ λ śceżk na warstwe metalzacj λ λ λ λ C C

22 Ogranczena nowoczesnej technolog VLSI spowodowane efektam fzycznym (c.d.) relatywne zmnejszene częstotlwośc pracy systemu Negatywne skutk stosowana zaawansowanych technolog VLSI: relatywny wzrost opóźnena sygnałów w lnach; wzrost prawdopodobeństwa powstana zakłóceń w pracy systemu relatywny wzrost wzajemnego oddzaływana sygnałów; wzrost różncy pozomu sygnału na początku końcu ln. WYNIK. Dodatkowe wymagane do projektantów SoC stosowane zasady lokalnośc regularnośc połączeń

23 Projektowane systemów SoC Wybór typu archtektury systemu SoC: lokalność regularność połączeń wewnętrznych; realzacja w obszarze reprogramowalnym układu scalonego; regularne algorytmy przetwarzana danych; równoległe przetwarzane danych. Wynk: Archtektury macerzy procesorowych Najwększy współczynnk wydajność/złożoność sprzętowa wśród równoległych archtektur nnych typów Istneją teoretyczne podstawy systematycznego projektowana MP (metody odwzorowana algorytmów regularnych)

24 Przykładowe archtektury macerzy procesorowych

25 Przykładowe archtektury macerzy procesorowych m = m = m =

26 Założena wstępne wykładu projektu: część cyfrowa systemu SoC zawera jeden lub klka obszarów reprogramowalnych; podstawowym archtekturam jednostek przetwarzających dla systemów SoC są archtektury macerzy procesorowych. Zagadnena podstawowe: opracowane programów równoległych (wykład); zapoznane sę ze sposobam projektowana potokowych jednostek przetwarzających dla systemów SoC (wykład); zapoznane sę z analtycznym metodam projektowana równoległych jednostek przetwarzających dla systemów SoC na pozome strukturalnym (wykład); realzacja praktyczna (w tym komputerowa) w/w metod (projekt zespołowy). 6

27 Operacja bazowa FFT o podstawe z podzałem w czase B W A A A + = = B B + C C W W C A + Re A Im A + = = Re B Im B + + ReC Re C ReW ImW + ImC ImC ImW ReW Re A = Re B Re C ReW + ImC ImW Im A = Im B Re C ImW ImC ReW + ReC ReW ImW ImC ReB ImB ReA ImA ReA+ ImA + Parametry operacj bazowej: operacje mnożena; 8 operacj dodawana. 7

28 Graf algorytmu 6-punktowego FFT o podstawe z podzałem w czase, normalne uporządkowanym danym wejścowym odwróconą btowo kolejnoścą wynków N = 8 N = N = W W log N W W W W W W W6 W6 W W W6 W W5 W W7 8

29 Graf algorytmu 6-punktowego FFT o podstawe z podzałem w czase, odwróconą btowo kolejnoścą danych wejścowych normalne uporządkowanym wynkam N = N = N = W W W5 0 W W W W W6 W W W6 log N W W W W W6 W7 9

30 Opracowane struktury ALU do realzacj operacj bazowej FFT z podzałem w czase (c.d.) ReC ReW ImW ImC B W A ReB ImB ReA ImA C A + ReA+ ImA + ReC ReB + ReW - Re A Im A + = = Re B Im B + + ReC Re C ReW ImW + ImC ImC Re A = Re B Re C ReW + ImC Im A = Im B Re C ImW ImC + ImW ReW ImW ReW 0

31 Opracowane struktury ALU do realzacj operacj bazowej FFT z podzałem w czase (c.d.) nr taktu ReC ReW ImW ImC ReB ImB ReA ImA ImC ImC ReC ReC ReW ImW ImW ReW ReA+ ImA + ImB ReB... Blok sterowana ReC ReW MUX MUX ReB +/- +/- + - A A +

32 Opracowane struktury ALU do realzacj operacj bazowej FFT z podzałem w czase (c.d.) ReC ReW ImW ImC ReB ImB ReA ImA ReA+ ImA + Parametry operacj bazowej operacje mnożena; 8 operacj dodawana; Parametry urządzena: blok mnożący; sumatory; czas oblczeń - takty. nr taktu ImC ImC ReC ReC ImB ReB ReW ImW ImW ReW MUX MUX SM ± SM ± A A+ Re A Im A + = = Re B Im B + + ReC Re C ReW ImW ImC + ImC ImW ReW Re A = Re B Re C ReW + ImC ImW Im A = Im B Re C ImW ImC ReW + Blok sterowana...

33 Opracowane bloku sterowana (BS) dla opracowanego ALU nr taktu ImC ImC ReC ReC ReW ImW ImW ReW s MUX s SM ± A Re A = Im A = + Re B Im B + ReC ReW + Re C ImW + ImC ImW ImC ReW Re A = Re B Re C ReW + ImC ImW ImB ReB Blok sterowana... ALU s MUX 0 s SM ± A + Im A = Im B Re C ImW ImC ReW + ReB+ReC*ReW=> ReB-ReC*ReW=> Nr taktu 5() => => ReA => => => ReA + => ImB+ReC*ImW=> ReA =-ImC*ImW=> ImA =+ImC*ReW=> ImB-ReC*ImW=> ReA + =+ImC*ImW=> ImA + =-ImC*ReW=> ImA => ImA + =>

34 Opracowane bloku sterowana (BS) dla opracowanego ALU (c.d.) nr taktu ImC ImC ReC ReC ImB ReB Blok sterowana... ReW ImW ImW ReW ALU s MUX s MUX 0 SM ± SM ± s s Nr taktu Operacja ReB+ReC*ReW=> ReB-ReC*ReW=> + => => ImB+ReC*ImW=> ImB-ReC*ImW=> + => => ReA =-ImC*ImW=> ReA + =+ImC*ImW=> + ReA => ReA + => ImA =+ImC*ReW=> ImA + =-ImC*ReW=> + => => +5 => => A A + s (MUX) 0 0 Re A s (MUX) 0 0 Im A + = Re B s (SM) 0(+) 0(+) (-) 0(+) + = Im B + ReC s (SM) (-) (-) 0(+) (-) ReW Re C ImW + Odczyt z RAM ReB ReC ImB ReC ImC ImC ImC ROM ReW ImW ImW ReW ImW ImC ReW Re A = Re B Re C ReW + ImC ImW Im A = Im B Re C ImW ImC ReW + Zaps do RAM ReA ReA + ImA ImA +

35 Opracowane bloku sterowana (BS) dla opracowanego ALU (c.d.) Nr taktu Operacja ReB+ReC*ReW=> ReB-ReC*ReW=> + => => ImB+ReC*ImW=> ImB-ReC*ImW=> + => => ReA =-ImC*ImW=> ReA + =+ImC*ImW=> + ReA => ReA + => ImA =+ImC*ReW=> ImA + =-ImC*ReW=> + => => ReB+ReC*ReW=> ReB-ReC*ReW=> +5 => => ImB+ReC*ImW=> ImB-ReC*ImW=> +6 => => ReA =-ImC*ImW=> ReA + =+ImC*ImW=> s (MUX) s (MUX) s (SM) 0(+) 0(+) (-) 0(+) 0(+) 0(+) (-) s (SM) (-) (-) 0(+) (-) (-) (-) 0(+) Odczyt z RAM ReB ReC ImB ReC ImC ImC ReB ReC ImB ReC ImC ROM ReW ImW ImW ReW ReW ImW ImW Zaps do RAM ReA ReA + ImA ImA + ReA ReA + ImA ImA + 5

36 Opracowane bloku sterowana (BS) dla opracowanego ALU (c.d.) nr taktu ImC ImC ReC ReC ReW ImW ImW ReW s MUX s SM ± A Re A = Im A = + Re B Im B + ReC ReW + Re C ImW + ImC ImW ImC ReW Re A = Re B Re C ReW + ImC ImW ImB ReB Blok sterowana... ALU s MUX 0 s SM ± A + Im A = Im B Re C ImW ImC ReW + s5 RAM TAKTY Danych We MUX Re TAKTY - - Adr.C Adr.B Adres 0 OUT ImC ImB ReC ReB Im 6

37 Opracowane bloku sterowana (BS) dla opracowanego ALU (c.d.) s5 s6 s7 RAM TAKTY Danych We MUX Re TAKTY - - Adr.C Adr.B Adres 5 OUT - - ImB ReB - Im MUX TAKTY 5 ImC ImC ReC ReC - nr taktu ImC ImC ReC ReC ReW ImW ImW ReW s MUX SM ± s A ImB ReB Blok sterowana... ALU s MUX 0 SM ± s A + 7

38 Idea funkcjonowana generatora adresów RAM dla algorytmu FFT z odwróconą btowo kolejnoścą odczytu danych We Formowane adresów danych wejścowych dla przypadku N=6 Nr kroku (lczba kroków wynos log N = )

39 Przykładowy generator adresów RAM dla algorytmu FFT z odwróconą btowo kolejnoścą odczytu danych We Nowa teracja st. Cout Reset shft left mł. st. mł. teracje SM st st. mł. st mł mł. Cn 9

40 Przykładowy generator adresów RAM ROM dla algorytmu FFT z podzałem w czase odwróconą btowo kolejnoścą odczytu danych We Nowa teracja st. Cout Reset shft left mł. st. mł. teracje SM st st. mł. st mł mł. Cn st mł. st. SM mł. st. mł. 0

41 Operacja bazowa FFT o podstawe z podzałem w dzedzne częstotlwośc B W A ReB ReC ImB ImC ReW C A + ImW A A = B + C + = ( B C ) W + Re A = Re B + Im A = Im B + ReC ImC Re A = (Re B ReC ) ReW (ImB ImC ) + Im A = (Re B ReC ) ImW + (Im B ImC ) ReA ImW ReW ImA ReA + ImA + Parametry op. bazowej operacje mnożena; 6 operacj dodawana.

42 Graf algorytmu 6-punktowego FFT o podstawe z podzałem w częstotlwośc, odwróconą btowo kolejnoścą wynków normalne uporządkowanym danym wejścowym N = 8 N = N = W 5 0 W W W 8 W 9 9 W5 0 5 W6 W 7 W7 5 5 log N W W W6 W W6 W W W

43 Graf algorytmu 6-punktowego FFT o podstawe z podzałem w częstotlwośc, odwróconą btowo kolejnoścą danych wejścowych normalne uporządkowanym wynkam N = N = N = W W 0 5 W6 6 6 W 7 W W5 5 0 W W7 W W W W W6 W W W W log N

44 Opracowane struktury potokowego ALU do realzacj operacj bazowej FFT (z podzałem w częstotlwośc) B W A ReB ReC ImB ImC ReW C A + ImW A A = B + C + = ( B C ) W + Re A = Re B + Im A = Im B + ReC ImC Re A = (Re B ReC ) ReW (ImB ImC ) + Im A = (Re B ReC ) ImW + (Im B ImC ) ReA ImW ReW ImA ReA + ImA + Parametry op. bazowej operacje mnożena; 6 operacj dodawana.

45 Opracowane struktury potokowego ALU do realzacj operacj bazowej FFT z podzałem w częstotlwośc(c.d.) ReB ReC ImB ImC ReB ReC ImB ImC ReW ImW ReW ImW MUX MUX ReA ImA - + ReA + ImA + ReA + ReA ImA + ImA Parametry urządzena: blok mnożące + 6 sumatorów; czas oblczeń - takty. 5

46 Struktura ogólna urządzena potokowego do realzacj N-punktowego FFT nr taktu ROM AUTOM. STER. RAM ImC ImC ReC ReC ReW ImW ImW ReW wejśce FFT RAM ImB ReB... Blok sterowana MUX MUX +/- +/- A A + 6

47 Struktura ogólna urządzena potokowego do realzacj N-punktowego FFT (c.d.) Przykładowa struktura Bloku Sterowana oraz wynk symulacj Generatora Adresów GNR FDv 6 NOR 7 OR 8 NOT 9 0 7

48 Zadana do perwszej częśc projektu zespołowego Nr zad. FFT z podzałem w dzedzne: częstotlwośc (F), czasu (T) Lczba bloków mnożena sumatorów, Σ Odwrócona btowo kolejność danych: na wejścu (We), na wyjścu (Wy) Maksymalna długość cyklu oblczenowego (taktów zegara t) 0 F, We 6 F, We F, We F, We F, We 5 T, We 6 F, Wy 6 7 F, Wy 8 F, Wy 9 F, Wy 0 F, Wy T, Wy F, 6 Wy F, 6 We T, Wy 5 T, We 8

System informatyczny (SI)

System informatyczny (SI) Projektowane systemów nformatycznych System nformatyczny (SI) System oprogramowana (software) Program1 Program2... ProgramN PC Platforma sprzętowa (hardware) K P DSP FPGA ASIC Archtektura systemu SISD

Bardziej szczegółowo

Zadanie na wykonanie Projektu Zespołowego

Zadanie na wykonanie Projektu Zespołowego Zadane na wykonane Projektu Zespołowego Celem projektu jest uzyskane następującego szeregu umejętnośc praktycznych: umejętnośc opracowana równoległych wersj algorytmów (na przykładze algorytmów algebry

Bardziej szczegółowo

Urządzenia wejścia-wyjścia

Urządzenia wejścia-wyjścia Urządzena wejśca-wyjśca Klasyfkacja urządzeń wejśca-wyjśca. Struktura mechanzmu wejśca-wyjśca (sprzętu oprogramowana). Interakcja jednostk centralnej z urządzenam wejśca-wyjśca: odpytywane, sterowane przerwanam,

Bardziej szczegółowo

Wykład 2. Mikrokontrolery z rdzeniami ARM

Wykład 2. Mikrokontrolery z rdzeniami ARM Źródło problemu 2 Wstęp Architektura ARM (Advanced RISC Machine, pierwotnie Acorn RISC Machine) jest 32-bitową architekturą (modelem programowym) procesorów typu RISC. Różne wersje procesorów ARM są szeroko

Bardziej szczegółowo

Projekt zespołowy. Część1: Projekt potokowej jednostki przetwarzającej przeznaczonej do realizacji algorytmu FFT. Rok akademicki 2008/2009

Projekt zespołowy. Część1: Projekt potokowej jednostki przetwarzającej przeznaczonej do realizacji algorytmu FFT. Rok akademicki 2008/2009 Projekt zespołowy Rok akademicki 2008/2009 Część1: Projekt potokowej jednostki przetwarzającej przeznaczonej do realizacji algorytmu FFT Kierunek studiów: Semestr: Grupa: Informatyka VII PKiSI 2 Wykonawca:

Bardziej szczegółowo

Realizacja logiki szybkiego przeniesienia w prototypie prądowym układu FPGA Spartan II

Realizacja logiki szybkiego przeniesienia w prototypie prądowym układu FPGA Spartan II obert Berezowsk Natala Maslennkowa Wydzał Elektronk Poltechnka Koszalńska ul. Partyzantów 7, 75-4 Koszaln Mchał Bałko Przemysław Sołtan ealzacja logk szybkego przenesena w prototype prądowym układu PG

Bardziej szczegółowo

Zapis informacji, systemy pozycyjne 1. Literatura Jerzy Grębosz, Symfonia C++ standard. Harvey M. Deitl, Paul J. Deitl, Arkana C++. Programowanie.

Zapis informacji, systemy pozycyjne 1. Literatura Jerzy Grębosz, Symfonia C++ standard. Harvey M. Deitl, Paul J. Deitl, Arkana C++. Programowanie. Zaps nformacj, systemy pozycyjne 1 Lteratura Jerzy Grębosz, Symfona C++ standard. Harvey M. Detl, Paul J. Detl, Arkana C++. Programowane. Zaps nformacj w komputerach Wszystke elementy danych przetwarzane

Bardziej szczegółowo

Systemy na Chipie. Robert Czerwiński

Systemy na Chipie. Robert Czerwiński Systemy na Chipie Robert Czerwiński Cel kursu Celem kursu jest zapoznanie słuchaczy ze współczesnymi metodami projektowania cyfrowych układów specjalizowanych, ze szczególnym uwzględnieniem układów logiki

Bardziej szczegółowo

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O Budowa komputera Magistrala Procesor Pamięć Układy I/O 1 Procesor to CPU (Central Processing Unit) centralny układ elektroniczny realizujący przetwarzanie informacji Zmiana stanu tranzystorów wewnątrz

Bardziej szczegółowo

WikiWS For Business Sharks

WikiWS For Business Sharks WkWS For Busness Sharks Ops zadana konkursowego Zadane Opracowane algorytmu automatyczne przetwarzającego zdjęce odręczne narysowanego dagramu na tablcy lub kartce do postac wektorowej zapsanej w formace

Bardziej szczegółowo

Architektura mikroprocesorów TEO 2009/2010

Architektura mikroprocesorów TEO 2009/2010 Architektura mikroprocesorów TEO 2009/2010 Plan wykładów Wykład 1: - Wstęp. Klasyfikacje mikroprocesorów Wykład 2: - Mikrokontrolery 8-bit: AVR, PIC Wykład 3: - Mikrokontrolery 8-bit: 8051, ST7 Wykład

Bardziej szczegółowo

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11 Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1 Spis treúci Przedmowa... 9 Wstęp... 11 1. Komputer PC od zewnątrz... 13 1.1. Elementy zestawu komputerowego... 13 1.2.

Bardziej szczegółowo

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara Elektronika cyfrowa i mikroprocesory Dr inż. Aleksander Cianciara Sprawy organizacyjne Warunki zaliczenia Lista obecności Kolokwium końcowe Ocena końcowa Konsultacje Poniedziałek 6:-7: Kontakt Budynek

Bardziej szczegółowo

± Δ. Podstawowe pojęcia procesu pomiarowego. x rzeczywiste. Określenie jakości poznania rzeczywistości

± Δ. Podstawowe pojęcia procesu pomiarowego. x rzeczywiste. Określenie jakości poznania rzeczywistości Podstawowe pojęca procesu pomarowego kreślene jakośc poznana rzeczywstośc Δ zmerzone rzeczywste 17 9 Zalety stosowana elektrycznych przyrządów 1/ 1. możlwość budowy czujnków zamenających werne każdą welkość

Bardziej szczegółowo

Wykorzystanie układów FPGA w implementacji systemów bezpieczeństwa sieciowego typu Firewall

Wykorzystanie układów FPGA w implementacji systemów bezpieczeństwa sieciowego typu Firewall Grzegorz Sułkowski, Maciej Twardy, Kazimierz Wiatr Wykorzystanie układów FPGA w implementacji systemów bezpieczeństwa sieciowego typu Firewall Plan prezentacji 1. Architektura Firewall a załoŝenia 2. Punktu

Bardziej szczegółowo

Sprzęt komputerowy 2. Autor prezentacji: 1 prof. dr hab. Maria Hilczer

Sprzęt komputerowy 2. Autor prezentacji: 1 prof. dr hab. Maria Hilczer Sprzęt komputerowy 2 Autor prezentacji: 1 prof. dr hab. Maria Hilczer Budowa komputera Magistrala Procesor Pamięć Układy I/O 2 Procesor to CPU (Central Processing Unit) centralny układ elektroniczny realizujący

Bardziej szczegółowo

Podręcznik użytkownika PCI-x Karta przechwytująca 4xHDMI

Podręcznik użytkownika PCI-x Karta przechwytująca 4xHDMI Podręcznik użytkownika PCI-x Karta przechwytująca 4xHDMI Spis treści 1. Specyfikacja... 3 1.1 Cechy:... 3 1.2 Rozdzielczość wideo na wejściu :... 3 1.3 Zawartość opakowania... 3 1.4 Wymagania systemowe...

Bardziej szczegółowo

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O Budowa komputera Magistrala Procesor Pamięć Układy I/O 1 Procesor to CPU (Central Processing Unit) centralny układ elektroniczny realizujący przetwarzanie informacji Zmiana stanu tranzystorów wewnątrz

Bardziej szczegółowo

PROGRAMOWANIE WSPÓŁCZESNYCH ARCHITEKTUR KOMPUTEROWYCH DR INŻ. KRZYSZTOF ROJEK

PROGRAMOWANIE WSPÓŁCZESNYCH ARCHITEKTUR KOMPUTEROWYCH DR INŻ. KRZYSZTOF ROJEK 1 PROGRAMOWANIE WSPÓŁCZESNYCH ARCHITEKTUR KOMPUTEROWYCH DR INŻ. KRZYSZTOF ROJEK POLITECHNIKA CZĘSTOCHOWSKA 2 Trendy rozwoju współczesnych procesorów Budowa procesora CPU na przykładzie Intel Kaby Lake

Bardziej szczegółowo

Katedra Systemów Elektroniki Morskiej. Specjalność Systemy elektroniki morskiej

Katedra Systemów Elektroniki Morskiej. Specjalność Systemy elektroniki morskiej Katedra Systemów Elektroniki Morskiej Specjalność Systemy elektroniki morskiej Dlaczego Systemy Elektroniki Morskiej? W ramach naszej specjalności poznacie zagadnienia związane z systemami czasu rzeczywistego

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład 5 Legenda Procedura projektowania Podział układów VLSI 2 1 Procedura projektowania Specyfikacja Napisz, jeśli jeszcze nie istnieje, specyfikację układu. Opracowanie

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Wykład 7 Jan Kazimirski 1 Pamięć podręczna 2 Pamięć komputera - charakterystyka Położenie Procesor rejestry, pamięć podręczna Pamięć wewnętrzna pamięć podręczna, główna Pamięć zewnętrzna

Bardziej szczegółowo

Układy logiczne układy cyfrowe

Układy logiczne układy cyfrowe Układy logiczne układy cyfrowe Jak projektować układy cyfrowe (systemy cyfrowe) Układy arytmetyki rozproszonej filtrów cyfrowych Układy kryptograficzne X Selektor ROM ROM AND Specjalizowane układy cyfrowe

Bardziej szczegółowo

Systemy mikroprocesorowe i układy programowalne

Systemy mikroprocesorowe i układy programowalne Grupa bloków Systemy mikroprocesorowe i układy programowalne Katedra Mikroelektroniki i Technik Informatycznych Obszar zagadnień sprzęt sprzęt mikroprocesory SoC, systemy mobilne procesory sygnałowe mikrokontrolery

Bardziej szczegółowo

Architektura Systemów Komputerowych. Bezpośredni dostęp do pamięci Realizacja zależności czasowych

Architektura Systemów Komputerowych. Bezpośredni dostęp do pamięci Realizacja zależności czasowych Architektura Systemów Komputerowych Bezpośredni dostęp do pamięci Realizacja zależności czasowych 1 Bezpośredni dostęp do pamięci Bezpośredni dostęp do pamięci (ang: direct memory access - DMA) to transfer

Bardziej szczegółowo

Katedra Mikroelektroniki i Technik Informatycznych

Katedra Mikroelektroniki i Technik Informatycznych Katedra Mikroelektroniki i Technik Informatycznych Bloki obieralne na kierunku Mechatronika rok akademicki 2013/2014 ul. Wólczańska 221/223, budynek B18 www.dmcs.p.lodz.pl Nowa siedziba Katedry 2005 2006

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY. Rev.1.1

LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY. Rev.1.1 LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY Rev.1.1 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z zakresu projektowania układów kombinacyjnych oraz arytmetycznych 2. Projekty Przy

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Wykład 12 Jan Kazimirski 1 Magistrale systemowe 2 Magistrale Magistrala medium łączące dwa lub więcej urządzeń Sygnał przesyłany magistralą może być odbierany przez wiele urządzeń

Bardziej szczegółowo

SYSTEMY WBUDOWANE CZASU RZECZYWISTEGO. Specjalność magisterska Katedry Systemów Elektroniki Morskiej

SYSTEMY WBUDOWANE CZASU RZECZYWISTEGO. Specjalność magisterska Katedry Systemów Elektroniki Morskiej SYSTEMY WBUDOWANE CZASU RZECZYWISTEGO Specjalność magisterska Katedry Systemów Elektroniki Morskiej Co to jest system wbudowany czasu rzeczywistego? Komputer - część większego systemu wykonuje skończoną

Bardziej szczegółowo

Weryfikacja hipotez dla wielu populacji

Weryfikacja hipotez dla wielu populacji Weryfkacja hpotez dla welu populacj Dr Joanna Banaś Zakład Badań Systemowych Instytut Sztucznej Intelgencj Metod Matematycznych Wydzał Informatyk Poltechnk Szczecńskej 5. Parametryczne testy stotnośc w

Bardziej szczegółowo

Architektura systemu komputerowego

Architektura systemu komputerowego Zakres przedmiotu 1. Wstęp do systemów mikroprocesorowych. 2. Współpraca procesora z pamięcią. Pamięci półprzewodnikowe. 3. Architektura systemów mikroprocesorowych. 4. Współpraca procesora z urządzeniami

Bardziej szczegółowo

Wydajność systemów a organizacja pamięci. Krzysztof Banaś, Obliczenia wysokiej wydajności. 1

Wydajność systemów a organizacja pamięci. Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Wydajność systemów a organizacja pamięci Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Wydajność obliczeń Dla wielu programów wydajność obliczeń można traktować jako wydajność pobierania z pamięci

Bardziej szczegółowo

Współczesne techniki informacyjne

Współczesne techniki informacyjne Współczesne techniki informacyjne są multimedialne, można oczekiwać, że po cywilizacji pisma (i druku) nastąpi etap cywilizacji obrazowej czyli coraz większa jest potrzeba gromadzenia i przysyłania wielkiej

Bardziej szczegółowo

Zwielokrotnianie i spójność

Zwielokrotnianie i spójność Zwelokrotnane spójność Zwelokrotnane Zwelokrotnane polega na utrzymywanu welu kop danych (obektów) na nezależnych serwerach Cele zwelokrotnana 1. zwększene efektywnośc 2. zwększene nezawodnośc ( dostępnośc)

Bardziej szczegółowo

XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej. XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej

XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej. XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej Zestaw pytań finałowych numer : 1 1. Wzmacniacz prądu stałego: własności, podstawowe rozwiązania układowe 2. Cyfrowy układ sekwencyjny - schemat blokowy, sygnały wejściowe i wyjściowe, zasady syntezy 3.

Bardziej szczegółowo

Specyfika projektowania Mariusz Rawski

Specyfika projektowania Mariusz Rawski CAD Specyfika projektowania Mariusz Rawski rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ System cyfrowy pierwsze skojarzenie Urządzenia wprowadzania danych: klawiatury czytniki urządzenia przetwarzania

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo

Budowa i zasada działania komputera. dr Artur Bartoszewski

Budowa i zasada działania komputera. dr Artur Bartoszewski Budowa i zasada działania komputera 1 dr Artur Bartoszewski Jednostka arytmetyczno-logiczna 2 Pojęcie systemu mikroprocesorowego Układ cyfrowy: Układy cyfrowe służą do przetwarzania informacji. Do układu

Bardziej szczegółowo

Zagadnienia do omówienia

Zagadnienia do omówienia Zarządzane produkcją dr nż. Marek Dudek Ul. Gramatyka 0, tel. 6798 http://www.produkcja.zarz.agh.edu.pl Zagadnena do omówena Zasady projektowana systemów produkcyjnych część (organzacja procesów w przestrzen)

Bardziej szczegółowo

Systemy wbudowane. Paweł Pełczyński ppelczynski@swspiz.pl

Systemy wbudowane. Paweł Pełczyński ppelczynski@swspiz.pl Systemy wbudowane Paweł Pełczyński ppelczynski@swspiz.pl 1 Program przedmiotu Wprowadzenie definicja, zastosowania, projektowanie systemów wbudowanych Mikrokontrolery AVR Programowanie mikrokontrolerów

Bardziej szczegółowo

Stanisław Cichocki. Natalia Nehrebecka. Wykład 6

Stanisław Cichocki. Natalia Nehrebecka. Wykład 6 Stansław Cchock Natala Nehrebecka Wykład 6 1 1. Zastosowane modelu potęgowego Model potęgowy Przekształcene Boxa-Coxa 2. Zmenne cągłe za zmenne dyskretne 3. Interpretacja parametrów przy zmennych dyskretnych

Bardziej szczegółowo

Sprzęt komputerowy 2. Autor prezentacji: 1 prof. dr hab. Maria Hilczer

Sprzęt komputerowy 2. Autor prezentacji: 1 prof. dr hab. Maria Hilczer Sprzęt komputerowy 2 Autor prezentacji: 1 prof. dr hab. Maria Hilczer Budowa komputera Magistrala Procesor Pamięć Układy I/O 2 Procesor to CPU (Central Processing Unit) centralny układ elektroniczny realizujący

Bardziej szczegółowo

Katedra Mikroelektroniki i Technik Informatycznych

Katedra Mikroelektroniki i Technik Informatycznych Katedra Mikroelektroniki i Technik Informatycznych Bloki obieralne na kierunku Elektronika i telekomunikacja rok akademicki 2013/2014 ul. Wólczańska 221/223, budynek B18 www.dmcs.p.lodz.pl Grupa bloków

Bardziej szczegółowo

Architektura komputera

Architektura komputera Architektura komputera Architektura systemu komputerowego O tym w jaki sposób komputer wykonuje program i uzyskuje dostęp do pamięci i danych, decyduje architektura systemu komputerowego. Określa ona sposób

Bardziej szczegółowo

Wykład I. Podstawowe pojęcia. Studia Podyplomowe INFORMATYKA Architektura komputerów

Wykład I. Podstawowe pojęcia. Studia Podyplomowe INFORMATYKA Architektura komputerów Studia Podyplomowe INFORMATYKA Architektura komputerów Wykład I Podstawowe pojęcia 1, Cyfrowe dane 2 Wewnątrz komputera informacja ma postać fizycznych sygnałów dwuwartościowych (np. dwa poziomy napięcia,

Bardziej szczegółowo

Stanisław Cichocki. Natalia Nehrebecka. Wykład 6

Stanisław Cichocki. Natalia Nehrebecka. Wykład 6 Stansław Cchock Natala Nehrebecka Wykład 6 1 1. Interpretacja parametrów przy zmennych objaśnających cągłych Semelastyczność 2. Zastosowane modelu potęgowego Model potęgowy 3. Zmenne cągłe za zmenne dyskretne

Bardziej szczegółowo

Wykład 6. Mikrokontrolery z rdzeniem ARM

Wykład 6. Mikrokontrolery z rdzeniem ARM Wykład 6 Mikrokontrolery z rdzeniem ARM Plan wykładu Cortex-A9 c.d. Mikrokontrolery firmy ST Mikrokontrolery firmy NXP Mikrokontrolery firmy AnalogDevices Mikrokontrolery firmy Freescale Mikrokontrolery

Bardziej szczegółowo

RDZEŃ x86 x86 rodzina architektur (modeli programowych) procesorów firmy Intel, należących do kategorii CISC, stosowana w komputerach PC,

RDZEŃ x86 x86 rodzina architektur (modeli programowych) procesorów firmy Intel, należących do kategorii CISC, stosowana w komputerach PC, RDZEŃ x86 x86 rodzina architektur (modeli programowych) procesorów firmy Intel, należących do kategorii CISC, stosowana w komputerach PC, zapoczątkowana przez i wstecznie zgodna z 16-bitowym procesorem

Bardziej szczegółowo

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH Arkadiusz Bukowiec mgr inż. Agnieszka Węgrzyn Instytut Informatyki i Elektroniki, Uniwersytet

Bardziej szczegółowo

architektura komputerów w. 3 Arytmetyka komputerów

architektura komputerów w. 3 Arytmetyka komputerów archtektura komputerów w. 3 Arytmetyka komputerów Systemy pozycyjne - dodawane w systeme dwójkowym 100101011001110010101 100111101000001000 0110110011101 1 archtektura komputerów w 3 1 Arytmetyka bnarna.

Bardziej szczegółowo

FPGA IMPLEMENTATION OF FAST FOURIER TRANSFORM ALGORITHM IMPLEMENTACJA ALGORYTMU SZYBKIEJ TRANSFORMATY FOURIERA W UKŁADZIE PROGRAMOWALNYM FPGA

FPGA IMPLEMENTATION OF FAST FOURIER TRANSFORM ALGORITHM IMPLEMENTACJA ALGORYTMU SZYBKIEJ TRANSFORMATY FOURIERA W UKŁADZIE PROGRAMOWALNYM FPGA Inż. Arkadiusz Pantoł IV rok Koło Naukowe Techniki Cyfrowej dr inż. Wojciech Mysiński opiekun naukowy FPGA IMPLEMENTATION OF FAST FOURIER TRANSFORM ALGORITHM IMPLEMENTACJA ALGORYTMU SZYBKIEJ TRANSFORMATY

Bardziej szczegółowo

ZAJĘCIA WYBIERALNE KIERUNEK ELEKTRONIKA I TELEKOMUNIKACJA STUDIA NIESTACJONARNE

ZAJĘCIA WYBIERALNE KIERUNEK ELEKTRONIKA I TELEKOMUNIKACJA STUDIA NIESTACJONARNE ZAJĘCIA WYBIERALNE KIERUNEK ELEKTRONIKA I TELEKOMUNIKACJA STUDIA NIESTACJONARNE I-go STOPNIA maj 2016 STRUKTURA WYBORU sem. V sem. VI sem. VII sem. VIII p r z e d m i o t y k i e r u n k o w e blok obieralny

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

Technika mikroprocesorowa. W. Daca, Politechnika Szczecińska, Wydział Elektryczny, 2007/08

Technika mikroprocesorowa. W. Daca, Politechnika Szczecińska, Wydział Elektryczny, 2007/08 Mikrokontrolery 16-bitowe Oferowane obecnie na rynku mikrokontrolery 16-bitowe opracowane zostały pomiędzy połowa lat 80-tych a początkiem lat 90-tych. Ich powstanie było naturalną konsekwencją ograniczeń

Bardziej szczegółowo

Technika cyfrowa Inżynieria dyskretna cz. 2

Technika cyfrowa Inżynieria dyskretna cz. 2 Sławomir Kulesza Technika cyfrowa Inżynieria dyskretna cz. 2 Wykład dla studentów III roku Informatyki Wersja 5.0, 10/10/2015 Generacje układów scalonych Stopień scalenia Liczba elementów aktywnych Zastosowania

Bardziej szczegółowo

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Informacje ogólne Nazwa przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej Kod przedmiotu 06.5-WE-AiRP-PTCiM Wydział Kierunek Wydział

Bardziej szczegółowo

Systemy operacyjne i sieci komputerowe Szymon Wilk Superkomputery 1

Systemy operacyjne i sieci komputerowe Szymon Wilk Superkomputery 1 i sieci komputerowe Szymon Wilk Superkomputery 1 1. Superkomputery to komputery o bardzo dużej mocy obliczeniowej. Przeznaczone są do symulacji zjawisk fizycznych prowadzonych głównie w instytucjach badawczych:

Bardziej szczegółowo

ZAŁĄCZNIK NR 1C KARTA USŁUGI Utrzymanie Systemu Kopii Zapasowych (USKZ)

ZAŁĄCZNIK NR 1C KARTA USŁUGI Utrzymanie Systemu Kopii Zapasowych (USKZ) Załącznk nr 1C do Umowy nr.. z dna.2014 r. ZAŁĄCZNIK NR 1C KARTA USŁUGI Utrzymane Systemu Kop Zapasowych (USKZ) 1 INFORMACJE DOTYCZĄCE USŁUGI 1.1 CEL USŁUGI: W ramach Usług Usługodawca zobowązany jest

Bardziej szczegółowo

Układy logiczne układy cyfrowe

Układy logiczne układy cyfrowe Układy logiczne układy cyfrowe Jak projektować układy cyfrowe (systemy cyfrowe) Układy arytmetyki rozproszonej filtrów cyfrowych Układy kryptograficzne Evatronix KontrolerEthernet MAC (Media Access Control)

Bardziej szczegółowo

Karta (sylabus) modułu/przedmiotu

Karta (sylabus) modułu/przedmiotu Karta (sylabus) mułu/przedmotu Budownctwo (Nazwa kerunku studów) Studa I Stopna Przedmot: Materały budowlane II Constructon materals Rok: II Semestr: MK_26 Rzaje zajęć lczba gzn: Studa stacjonarne Studa

Bardziej szczegółowo

Rozwiązywanie zadań optymalizacji w środowisku programu MATLAB

Rozwiązywanie zadań optymalizacji w środowisku programu MATLAB Rozwązywane zadań optymalzacj w środowsku programu MATLAB Zagadnene optymalzacj polega na znajdowanu najlepszego, względem ustalonego kryterum, rozwązana należącego do zboru rozwązań dopuszczalnych. Standardowe

Bardziej szczegółowo

Architektura systemów komputerowych. Przetwarzanie potokowe I

Architektura systemów komputerowych. Przetwarzanie potokowe I Architektura systemów komputerowych Plan wykładu. Praca potokowa. 2. Projekt P koncepcja potoku: 2.. model ścieżki danych 2.2. rejestry w potoku, 2.3. wykonanie instrukcji, 2.3. program w potoku. Cele

Bardziej szczegółowo

System mikroprocesorowy i peryferia. Dariusz Chaberski

System mikroprocesorowy i peryferia. Dariusz Chaberski System mikroprocesorowy i peryferia Dariusz Chaberski System mikroprocesorowy mikroprocesor pamięć kontroler przerwań układy wejścia wyjścia kontroler DMA 2 Pamięć rodzaje (podział ze względu na sposób

Bardziej szczegółowo

STATECZNOŚĆ SKARP. α - kąt nachylenia skarpy [ o ], φ - kąt tarcia wewnętrznego gruntu [ o ],

STATECZNOŚĆ SKARP. α - kąt nachylenia skarpy [ o ], φ - kąt tarcia wewnętrznego gruntu [ o ], STATECZNOŚĆ SKARP W przypadku obektu wykonanego z gruntów nespostych zaprojektowane bezpecznego nachylena skarp sprowadza sę do przekształcena wzoru na współczynnk statecznośc do postac: tgφ tgα = n gdze:

Bardziej szczegółowo

REALIZACJA ARCHITEKTUR MACIERZY PROCESOROWYCH W DYNAMICZNIE REPROGRAMOWALNYCH UKŁADACH FPGA

REALIZACJA ARCHITEKTUR MACIERZY PROCESOROWYCH W DYNAMICZNIE REPROGRAMOWALNYCH UKŁADACH FPGA REALIZACJA ARCHITEKTUR MACIERZY PROCESOROWYCH W DYNAMICZNIE RROGRAMOWALNYCH UKŁADACH FPGA Oleg Maslennkow Poltechnka Koszalńska, Wydzał Elektronk, Ul. Śnadeckch, 75-453 Koszaln emal: oleg@e.tu.koszaln.pl

Bardziej szczegółowo

Kombinacyjne bloki funkcjonalne

Kombinacyjne bloki funkcjonalne Sławomir Kulesza Technika cyfrowa Kombinacyjne bloki funkcjonalne Wykład dla studentów III roku Informatyki Wersja., 5//2 Bloki cyfrowe Blok funkcjonalny to układ cyfrowy utworzony z pewnej liczby elementów

Bardziej szczegółowo

Wykład Mikroprocesory i kontrolery

Wykład Mikroprocesory i kontrolery Wykład Mikroprocesory i kontrolery Cele wykładu: Poznanie podstaw budowy, zasad działania mikroprocesorów i układów z nimi współpracujących. Podstawowa wiedza potrzebna do dalszego kształcenia się w technice

Bardziej szczegółowo

Układy sekwencyjne. Wstęp doinformatyki. Zegary. Układy sekwencyjne. Automaty sekwencyjne. Element pamięciowy. Układy logiczne komputerów

Układy sekwencyjne. Wstęp doinformatyki. Zegary. Układy sekwencyjne. Automaty sekwencyjne. Element pamięciowy. Układy logiczne komputerów Wstęp doinformatyki Układy sekwencyjne Układy logiczne komputerów Układy sekwencyjne Dr inż. Ignacy Pardyka Akademia Świętokrzyska Kielce, 2001 Wstęp do informatyki I. Pardyka Akademia Świętokrzyska Kielce,

Bardziej szczegółowo

Filtry cyfrowe i procesory sygnałowe

Filtry cyfrowe i procesory sygnałowe Filtry cyfrowe i procesory sygnałowe Prezentacja nowego sprzętu do cyfrowego przetwarzania sygnałów w czasie rzeczywistym platformy TMX320C5515 ezdsp USB STICK Porównanie przydatności nowego sprzętu ze

Bardziej szczegółowo

Mechatronika i inteligentne systemy produkcyjne. Modelowanie systemów mechatronicznych Platformy przetwarzania danych

Mechatronika i inteligentne systemy produkcyjne. Modelowanie systemów mechatronicznych Platformy przetwarzania danych Mechatronika i inteligentne systemy produkcyjne Modelowanie systemów mechatronicznych Platformy przetwarzania danych 1 Sterowanie procesem oparte na jego modelu u 1 (t) System rzeczywisty x(t) y(t) Tworzenie

Bardziej szczegółowo

Architektura potokowa RISC

Architektura potokowa RISC Architektura potokowa RISC Podział zadania na odrębne części i niezależny sprzęt szeregowe Brak nawrotów" podczas pracy potokowe Przetwarzanie szeregowe i potokowe Podział instrukcji na fazy wykonania

Bardziej szczegółowo

Procesory. Schemat budowy procesora

Procesory. Schemat budowy procesora Procesory Procesor jednostka centralna (CPU Central Processing Unit) to sekwencyjne urządzenie cyfrowe którego zadaniem jest wykonywanie rozkazów i sterowanie pracą wszystkich pozostałych bloków systemu

Bardziej szczegółowo

Sterowniki Programowalne (SP)

Sterowniki Programowalne (SP) Sterowniki Programowalne (SP) Wybrane aspekty procesu tworzenia oprogramowania dla sterownika PLC Podstawy języka funkcjonalnych schematów blokowych (FBD) Politechnika Gdańska Wydział Elektrotechniki i

Bardziej szczegółowo

Zakład Techniki Cyfrowej. Tematy prac dyplomowych na rok akademicki 2011-2012

Zakład Techniki Cyfrowej. Tematy prac dyplomowych na rok akademicki 2011-2012 Tematy prac dyplomowych na rok akademicki 2011-2012 Temat: Badanie właściwości pamięci hierarchicznych w systemach mikroprocesorowych Promotor: prof. dr hab. inż. Ryszard Pełka e-mail: rpelka@wel.wat.edu.pl,

Bardziej szczegółowo

dr inż. Jarosław Forenc

dr inż. Jarosław Forenc Informatyka 1 Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr II, studia stacjonarne I stopnia Rok akademicki 2012/2013 Wykład nr 6 (03.04.2013) Rok akademicki 2012/2013, Wykład

Bardziej szczegółowo

dr inż. Jarosław Forenc Dotyczy jednostek operacyjnych i ich połączeń stanowiących realizację specyfikacji typu architektury

dr inż. Jarosław Forenc Dotyczy jednostek operacyjnych i ich połączeń stanowiących realizację specyfikacji typu architektury Rok akademicki 2012/2013, Wykład nr 6 2/43 Plan wykładu nr 6 Informatyka 1 Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr II, studia stacjonarne I stopnia Rok akademicki 2012/2013

Bardziej szczegółowo

Wydajność systemów a organizacja pamięci. Krzysztof Banaś, Obliczenia wysokiej wydajności. 1

Wydajność systemów a organizacja pamięci. Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Wydajność systemów a organizacja pamięci Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Motywacja - memory wall Krzysztof Banaś, Obliczenia wysokiej wydajności. 2 Organizacja pamięci Organizacja pamięci:

Bardziej szczegółowo

STATYSTYKA MATEMATYCZNA WYKŁAD 5 WERYFIKACJA HIPOTEZ NIEPARAMETRYCZNYCH

STATYSTYKA MATEMATYCZNA WYKŁAD 5 WERYFIKACJA HIPOTEZ NIEPARAMETRYCZNYCH STATYSTYKA MATEMATYCZNA WYKŁAD 5 WERYFIKACJA HIPOTEZ NIEPARAMETRYCZNYCH 1 Test zgodnośc χ 2 Hpoteza zerowa H 0 ( Cecha X populacj ma rozkład o dystrybuance F). Hpoteza alternatywna H1( Cecha X populacj

Bardziej szczegółowo

Synteza logiczna w projektowaniu

Synteza logiczna w projektowaniu Synteza logiczna w projektowaniu układów cyfrowych (pływ syntezy logicznej na jakość realizacji układów cyfrowych) X Z System cyfrowy D Z U z bloków funkcjonalnych Z Y US X U F US automat lub układ mikroprogramowany

Bardziej szczegółowo

Układ sterowania, magistrale i organizacja pamięci. Dariusz Chaberski

Układ sterowania, magistrale i organizacja pamięci. Dariusz Chaberski Układ sterowania, magistrale i organizacja pamięci Dariusz Chaberski Jednostka centralna szyna sygnałow sterowania sygnały sterujące układ sterowania sygnały stanu wewnętrzna szyna danych układ wykonawczy

Bardziej szczegółowo

Automatyzacja procesu tworzenia sprzętowego narzędzia służącego do rozwiązywania zagadnienia logarytmu dyskretnego na krzywych eliptycznych

Automatyzacja procesu tworzenia sprzętowego narzędzia służącego do rozwiązywania zagadnienia logarytmu dyskretnego na krzywych eliptycznych Automatyzacja procesu tworzenia sprzętowego narzędzia służącego do rozwiązywania zagadnienia logarytmu dyskretnego na krzywych eliptycznych Autor: Piotr Majkowski Pod opieką: prof. Zbigniew Kotulski Politechnika

Bardziej szczegółowo

Podstawy Informatyki Systemy sterowane przepływem argumentów

Podstawy Informatyki Systemy sterowane przepływem argumentów Podstawy Informatyki alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi Plan wykładu 1 Komputer i jego architektura Taksonomia Flynna 2 Komputer i jego architektura Taksonomia Flynna Komputer Komputer

Bardziej szczegółowo

Technika mikroprocesorowa. Linia rozwojowa procesorów firmy Intel w latach

Technika mikroprocesorowa. Linia rozwojowa procesorów firmy Intel w latach mikrokontrolery mikroprocesory Technika mikroprocesorowa Linia rozwojowa procesorów firmy Intel w latach 1970-2000 W krótkim pionierskim okresie firma Intel produkowała tylko mikroprocesory. W okresie

Bardziej szczegółowo

Symulator układu regulacji automatycznej z samonastrajającym regulatorem PID

Symulator układu regulacji automatycznej z samonastrajającym regulatorem PID Symulator układu regulacj automatycznej z samonastrajającym regulatorem PID Założena. Należy napsać program komputerowy symulujący układ regulacj automatycznej, który: - ma pracować w trybe sterowana ręcznego

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Jednostki obliczeniowe w zastosowaniach mechatronicznych Kierunek: Mechatronika Rodzaj przedmiotu: dla specjalności Systemy Sterowania Rodzaj zajęć: Wykład, laboratorium Computational

Bardziej szczegółowo

WSPOMAGANE KOMPUTEROWO POMIARY CZĘSTOTLIWOŚCI CHWILOWEJ SYGNAŁÓW IMPULSOWYCH

WSPOMAGANE KOMPUTEROWO POMIARY CZĘSTOTLIWOŚCI CHWILOWEJ SYGNAŁÓW IMPULSOWYCH Metrologa Wspomagana Komputerowo - Zegrze, 9-22 05.997 WSPOMAGANE KOMPUTEROWO POMIARY CZĘSTOTLIWOŚCI CHWILOWEJ SYGNAŁÓW IMPULSOWYCH dr nż. Jan Ryszard Jask, dr nż. Elgusz Pawłowsk POLITECHNIKA lubelska

Bardziej szczegółowo

Organizacja typowego mikroprocesora

Organizacja typowego mikroprocesora Organizacja typowego mikroprocesora 1 Architektura procesora 8086 2 Architektura współczesnego procesora 3 Schemat blokowy procesora AVR Mega o architekturze harwardzkiej Wszystkie mikroprocesory zawierają

Bardziej szczegółowo

16. Taksonomia Flynn'a.

16. Taksonomia Flynn'a. 16. Taksonomia Flynn'a. Taksonomia systemów komputerowych według Flynna jest klasyfikacją architektur komputerowych, zaproponowaną w latach sześćdziesiątych XX wieku przez Michaela Flynna, opierająca się

Bardziej szczegółowo

Część 6. Mieszane analogowo-cyfrowe układy sterowania. Łukasz Starzak, Sterowanie przekształtników elektronicznych, zima 2011/12

Część 6. Mieszane analogowo-cyfrowe układy sterowania. Łukasz Starzak, Sterowanie przekształtników elektronicznych, zima 2011/12 Część 6 Mieszane analogowo-cyfrowe układy sterowania 1 Korzyści z cyfrowego sterowania przekształtników Zmniejszenie liczby elementów i wymiarów układu Sterowanie przekształtnikami o dowolnej topologii

Bardziej szczegółowo

Budowa Mikrokomputera

Budowa Mikrokomputera Budowa Mikrokomputera Wykład z Podstaw Informatyki dla I roku BO Piotr Mika Podstawowe elementy komputera Procesor Pamięć Magistrala (2/16) Płyta główna (ang. mainboard, motherboard) płyta drukowana komputera,

Bardziej szczegółowo

Wydajność systemów a organizacja pamięci. Krzysztof Banaś, Obliczenia wysokiej wydajności. 1

Wydajność systemów a organizacja pamięci. Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Wydajność systemów a organizacja pamięci Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Wydajność obliczeń Dla wielu programów wydajność obliczeń można traktować jako wydajność pobierania z pamięci

Bardziej szczegółowo

To jeszcze prostsze, MMcc1100!

To jeszcze prostsze, MMcc1100! MMcc1100 jest miniaturowym, kompletnym modułem nadawczo-odbiorczym (transceiverem), słuŝącym do przesyłania danych w postaci cyfrowej, zbudowanym w oparciu o układ CC1100 firmy Texas Instruments. Moduł

Bardziej szczegółowo

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur Piotr Fita Elektronika cyfrowa i analogowa Układy analogowe - przetwarzanie sygnałów, których wartości zmieniają się w sposób ciągły w pewnym zakresie

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203. Szczegóły realizacji projektu indywidualnego W dr inż.

Programowanie Układów Logicznych kod kursu: ETD6203. Szczegóły realizacji projektu indywidualnego W dr inż. Programowanie Układów Logicznych kod kursu: ETD6203 Szczegóły realizacji projektu indywidualnego W1 24.02.2016 dr inż. Daniel Kopiec Projekt indywidualny TERMIN 1: Zajęcia wstępne, wprowadzenie TERMIN

Bardziej szczegółowo

Wykład 5. Architektura ARM

Wykład 5. Architektura ARM Wykład 5 Architektura ARM Plan wykładu ARM co to jest? od historii od dzisiaj Wersje architektury ARMv1 ARMv7 Rodziny obecnie w użyciu ARM7 Cortex-A9 Listy instrukcji ARM, Thumb, Thumb-2, NEON, Jazelle

Bardziej szczegółowo

Mikroprocesory rodziny INTEL 80x86

Mikroprocesory rodziny INTEL 80x86 Mikroprocesory rodziny INTEL 80x86 Podstawowe wła ciwo ci procesora PENTIUM Rodzina procesorów INTEL 80x86 obejmuje mikroprocesory Intel 8086, 8088, 80286, 80386, 80486 oraz mikroprocesory PENTIUM. Wprowadzając

Bardziej szczegółowo

Główny Instytut Górnictwa Jednostka Certyfikująca Zespół Certyfikacji Wyrobów KD Barbara

Główny Instytut Górnictwa Jednostka Certyfikująca Zespół Certyfikacji Wyrobów KD Barbara [13] [14] [15] Ops: Rozszerzono typoszereg przetwornków typu S2Ex o następujące wykonana: S2Ex-SA-5,4; S2Ex-U-5,4; S2Ex-R-5,4; S2Ex-SBS; S2Ex-ZasLn; S2Ex-SBH, S2Ex-ZH; S2Ex-TP; S2Ex-RS; 27; 24/90; 24/120;

Bardziej szczegółowo

Testowanie systemów informatycznych Kod przedmiotu

Testowanie systemów informatycznych Kod przedmiotu Testowanie systemów informatycznych - opis przedmiotu Informacje ogólne Nazwa przedmiotu Testowanie systemów informatycznych Kod przedmiotu 06.0-WI-INFP-TSI Wydział Kierunek Wydział Informatyki, Elektrotechniki

Bardziej szczegółowo

Analiza rodzajów skutków i krytyczności uszkodzeń FMECA/FMEA według MIL STD - 1629A

Analiza rodzajów skutków i krytyczności uszkodzeń FMECA/FMEA według MIL STD - 1629A Analza rodzajów skutków krytycznośc uszkodzeń FMECA/FMEA według MIL STD - 629A Celem analzy krytycznośc jest szeregowane potencjalnych rodzajów uszkodzeń zdentyfkowanych zgodne z zasadam FMEA na podstawe

Bardziej szczegółowo