Procesory osadzone ETD 7211 ADC, DAC, UART, CLK W

Wielkość: px
Rozpocząć pokaz od strony:

Download "Procesory osadzone ETD 7211 ADC, DAC, UART, CLK W"

Transkrypt

1 Procesory osadzone ETD 7211 ADC, DAC, UART, CLK W

2 Load and stroe

3 Programowanie Zapis w C: IOSET1=0x ; IOSET1 = (1 << 15) Pętla opóźniająca: for (i=0; i < , i++); Pętla nieskończona: while(1) lub for (; ;); W asemblerze już tak kolorowo nie jest, ponieważ: operuje się na adresach pamięci a nie na nazwach symbolicznych, zapalenie diody wygląda tak: załaduj do rejestru ogólnego przeznaczenia R0 adres rejestru kierunku IODIR, załaduj do innego rejestru ogólnego np. R1 konfigurację bitów, umieść wartość z R1 pod adresem R0 IODIR = 0xE IOSET = 0xE IOCLR = 0xE C IOPIN = 0xE LDR R0, =0xE LDR R1, =(1<<15) STR R1, [R0]

4 Rozkazy przesłań z/lub do pamięci Do przesyłania danych pomiędzy rejestrami a pamięcią służą rozkazy: LDR Load Register: LDR Rd, adres STR Store Register: STR Rz, adres Adres zawiera: adres bazowy znajdujący się w jednym z rejestrów ogólnych R lub licznik rozkazów PC (mowa o adresowaniu względnym), przesunięcie względem adresu bazowego Wszystkie operacje wykonywane są na rejestrach a nie na pamięci!

5 przerwania

6 Rodzaje przerwań w układach ARM Przerwania ARM Programowe SWI SWI - Software Interrupt IRQ - Interrupt Request FIQ - Fast Interrupt Request Timer, ADC, External Interrupt, DMA. itp szybkie Sprzętowe IRQ, FIQ wektorowe niewektorowe

7 System przerwań w układach ARM - obsługa przerwań/wyjątków W momencie wystąpienia wyjątku: zawartość CPSR wędruje do SPSR_<mode> ustawione zostają odpowiednie bity zmianie ulega tryb pracy w razie potrzeby blokowane są przerwania adres powrotu w LR_<mode> Aby powrócić, obsługa wyjątku przywraca CPSR z SPSR_<mode> przywraca PC z LR_<mode> 0x1C 0x18 0x14 0x10 0x0C 0x08 0x04 0x00 FIQ IRQ (Reserved) Data Abort Prefetch Abort Software Interrupt Undefined Instruction Reset Tablica wektorów

8 VIC kontroler przerwań Magistrala Local Bus ARM 7TDMI nfiq nirq Kontroler przerwań VIC PLL F XTAL F CLK Magistrala AHB SRAM Flash Most AHB/VPB RAM USB, Ethernet Magistrala VPB (VLSI Peripheral Bus) Szybkie porty GPIO ADC, CA, PWM, SPI, I2C, RTC, USB, Timer porty GPIO

9 ADC

10 Peryferia przetwornik ADC - na przykładzie LPC2368 Parametry przetwornika ADC: 6 kanałów multipleksowany, konwersja od 3 do 10 bitów, zakres pomiarowy 0 3V, indywidualny rejestr wyniku dla każdego kanału, przetwarzanie na zasadzie sukcesywnej aproksymacji, czas przetwarzania ~ 2,44 µs ziarno: q=u/2 N = 3/1024 = 2,93 mv 10

11 Peryferia przetwornik ADC - na przykładzie LPC2368 Magistrala AHB, APB Piny wejściowe: P0.23, P0.24, P0.25, P0.26, P1.30, P1.31 ADC DANE rejestry sterujące 11 V REF GND V CC

12 wejścia analogowe Peryferia przetwornik ADC - na przykładzie LPC Budowa rejestrów przetwornika ADC P AD0STAT 0 AD Status Register P0.24 AD0INTEN AD Interrupt Enable Register P0.25 ADnDR5 ADnDR0 AD0DRn AD Data Register n-channel P0.26 AD0GDR AD Global Data Register P1.30 P1.31 AD0CR AD Control Register 12

13 Peryferia przetwornik ADC - na przykładzie LPC2368 procedura obsługi Procedura włączenia przetwornika wymaga kilku kroków: START REJ. PCONP bit PCAD Power Control Peripheral włączenie AD REJ. AD0CR bity: SEL, CLKDIV, BURST AD Control Register preskaler REJ. AD0CR bit PDN AD Control Register aktywowanie AD REJ. PINMODE, PINSEL - multiplekser wyjściowy, stan linii REJ. PCLK_SEL0 PCLK_ADC Peripheral Clock wybór źródła sygnału T Przerwanie N 13

14 14 PCLKSEL0 taktowanie układów peryferyjnych

15 15 PCLKSEL0 taktowanie układów peryferyjnych

16 Peryferia przetwornik ADC - na przykładzie LPC2368 procedura obsługi T Przerwanie N REJ. AD0INTEN AD Interrupt Enable Register źródłem przerwania konwersja na danym kanale, źródłem przerwania informacja o zakończonej konwersji (flaga DONE w AD0GDR) REJ. AD0CR AD Control Register Bity 26:24 START = 001 rozpoczęcie konwersji napięcia wejściowego na postać cyfrową T bit DONE =1 N VICVectCnt VICVectAddr VICIntEnable Pobierz wynik z AD0DRn lub AD0GDR 16

17 Peryferia przetwornik ADC - rejestr AD0CR - A/D Control Register Zawartość rejestru AD0CR SEL selektor kanału, wybór wejścia na którym dokonywany będzie pomiar, CLKDIV preskaler, przez zawartość tego rejestru podzielony zostanie sygnał taktujący, przetwornik AD, formalnie: (PCLK/CLKDIV)+1, BURST tryb pracy, bit =0, programowa kontrola działania przetwornika, 1-obsługa sprzętowa automatyczna multipleksacja kanałów z dokładnością określoną przez CLKS, CLKS liczba okresów zegara przypadająca na cykl przetwarzania przetwornika AD PDN ograniczenie zasilania układu przetwornika, 1 tryb normalny, 0 tryb ograniczony START pole bitów umożliwiające zatrzymać lub rozpocząć konwersję, użytkownik może ustawić źródło sygnału wyzwalające pomiar EDGE bit ma znaczenie jeżeli w polu START został wybrany sygnał wyzwalający, bit ten odpowiada ze zbocze wyzwalające, 1 opadające, 0 rosnące 17 Przetwornik ADC taktowany jest sygnałem PCLK (Peripherial Clock), częstotliwość przetwarzania musi być mniejsza od 4,5 MHz.

18 Peryferia przetwornik ADC - rejestr AD0CR - A/D Control Register Zawartość rejestru AD0CR 18

19 Peryferia przetwornik ADC - rejestr AD0GDR Global Data Register, AD0DRn Data Register AD0GDR CHN przedstawienie pochodzenia wyniku z danego kanału AD0DRn, gdzie n oznacza rejestr n-tego kanału WYNIK KONWERSJI 10 bitowy wynik przetwarzania sygnały z danego wejścia ADC, DONE po zakończeniu przetwarzania bit ten zostaje ustawiony w stan wysoki, OVERRUN nadpisanie zawartości rejestru wyniku (w trybie ciągłym) 19 Bit DONE stanowi idealne rozwiązanie na programową obsługę ADC! Wynik konwersji przechowywany jest w rejestrach AD0GDR i AD0DRn

20 Peryferia przetwornik ADC - rejestr AD0GDR Global Data Register, AD0DRn Data Register AD0STAT - A/D Status Register DONE 7:0 flagi zakończenia konwersji poszczególnych przetworników, OVERRUN 7:0 flagi nadpisania zawartości rejestru wyniku, ADINT flaga wystąpienia przerwania, bez identyfikacji kanału. AD0INTEN - A/D Interrupt Enable Register ADINTEN 7:0 odblokowanie przerwań od poszczególnych kanałów pomiarowych ADC, ADGINTEN 1 pojawienie się flagi DONE spowoduje wywołanie przerwania od ADC, 0 przerwania będą zgłaszane przez poszczególne kanały 20

21 Peryferia przetwornik ADC - przykładowy kod obsługi ADC LPC2368 int main (void) { IODIR1=0x0FFC0000; //linie P P1.27 ustawione jako wyscia PCONP = (1<<12); // AD converter (ADC) power/clock control bit AD0CR = (1<<21); // PDN wlaczenie przetwornika AD PCLKSEL0 =(0<<25) (0<<24); PINSEL1 =(0<<15) (1<<14); // Wejście AD0 włączony AD0CR = ( 0x01 << 0 ) /* SEL=1,select channel 0~7 on ADC0 */ ( ( / ) << 8 ) /* CLKDIV = Fpclk / */ ( 0 << 16 ) /* BURST = 0, no BURST, software controlled */ ( 0 << 17 ) /* CLKS = 0, 11 clocks/10 bits */ ( 1 << 21 ) /* PDN = 1, normal operation */ ( 0 << 24 ) /* START = 0 then A/D conversion stops */ ( 0 << 27 ); /* EDGE = 0 (CAP/MAT singal falling,trigger A/D conversion) */ while(1) { AD0CR = ( 1 << 24 ); /* Przetwornik wlaczony - Bity 26:24 = start konwersji */ do { val = AD0GDR; // Read A/D Data Register } while ((val & 0x ) == 0); // Petla zakonczy sie gdy warunek zwroci wartosc FALSE 21 } val = (val >> 6) & 0x03FF; val= (val<<18); IOPIN1= ~val; //stan niski - zapalnie diodek zgodnie z zawartoscia przetwornika ADC }

22 DAC

23 Peryferia przetwornik DAC - na przykładzie LPC2368 Przetwornik DAC N = 10 bitów, V REF = 3,3V, 23

24 Peryferia przetwornik DAC - na przykładzie LPC2368 Magistrala AHB, APB U WY = (słowo/1024) x V REF wyjście analogowe P0.26/AOUT DAC DANE rejestry sterujące 24 V CC GND V REF

25 Peryferia przetwornik DAC - na przykładzie LPC2368 DAC algorytm obsługi przetwornika DAC START REJ. PCLK_SEL0 Peripheral Clock wybór źródła sygnału REJ. PINMODE, PINSEL - multiplekser wyjściowy, stan linii DACR - Converter Register - zapis danej 10-bitowej 25 Funkcja generująca dowolny sygnał

26 Peryferia przetwornik DAC - na przykładzie LPC2368 DACR - D/A Converter Register WARTOŚĆ wartość binarna opisująca zadane napięcie wyjściowe, zgodnie z zaleznością WARTOŚĆ = 1024 x U WY /V REF BIAS wartość 0 czas konwersji 1 us, maksymalny prąd obciążenia 700 ua wartość 1 czas konwersji 2,5 us, maksymalny prąd obciążenia 350 ua Wysłanie danej do przetwornika: DACR = (WARTOSC & 0x3FF) << 6; 26

27 Peryferia przetwornik DAC - przykładowy kod obsługi ADC LPC2368 int main (void) { PCLKSEL0 = (0<<23) (0<<22); PINSEL1 = (1<<21) (0<<21); DACR = (1<<16); } while(1) { for (i=0; i<1024; i++){ DACR = (i & 0x3FF) << 6; delay(0x7000); } } 27

28 Peryferia przetwornik DAC - przykładowy kod obsługi ADC LPC2368 int main (void) { PCLKSEL0 = (0<<23) (0<<22); PINSEL1 = (1<<21) (0<<20); DACR = (1<<16); } while(1) { for (i=0; i<1024; i++){ DACR = (i & 0x3FF) << 6; delay(0x7000); } } 28

29 UART

30 Peryferia port szeregowy RS232 - na przykładzie LPC2368 Zgodny ze standardem Szybkość transmisji: 1200, 2400, 4800, 9600, 19200, 57600, bitów/s 16-bit bufor dla nadawczo-odbiorczej kolejki FIFO UART1 tryb modemu 30

31 Peryferia port szeregowy RS232 - UART1 na przykładzie LPC2368 UART Universal Asynchronous Receiver Transmitter 3,3 V P +8 V stan IDLE bit startu bitów danych bit parzystości -8 V 31 LPC2368: UART0/2/3 UART1 możliwość budowy modemu bity stopu: 1, 2 lub 1.5

32 Peryferia port szeregowy RS232 - Transmisja synchroniczna Transmisja asynchroniczna Szybkość: b/s Szybkość: b/s 32

33 Konwersja poziomów Konwersja poziomów : od 3 do 25 to stan wysoki logiczne 1 powyżej +3 V to stan niski - logiczne 0 33

34 Pin Sygnał Nazwa Kierunek 1 DCD Data Carrier Detect In 2 RXD Receive Data In 3 TXD Transmit Data Out 4 DTR Data Terminal Ready Out 5 GND Ground - 6 DSR Data Set Ready In 7 RTS Request to Send Out 8 CTS Clear to Send In 9 RI Ring Indicator In 34 LPC2368: UART0/2/3 UART1 możliwość budowy modemu

35 Peryferia port szeregowy RS232 - szybkość transmisji Szybkość transmisji (Baud Rate) podawana zazwyczaj jako: np.9600 bodów czyli 9600 bitów na sekundę czas transmisji 1-bitu -> 1/9600 -> 104,16 µs P STOP 12 x 104,16 µs = 1,25 ms Inne typowe szybkości transmisji danych: 110, 150, 300, 1200, 2400, 4800, 9600, 19200, 38400, 57600, , , ,

36 PINSEL Peryferia port szeregowy RS232 - rejestry 31 U1RBR 0 Receiver Buffer Register U1THR TransmitHoldingRegister U1DLL Divisor Latch LSB U1DLM Divisor Latch MSB TxD U1IER Interrupt Enable Register RxD U1IIR U1FCR Interrupt ID Register FIFO Control Register U1LCR Line Control Register U1LSR Line Status Register U1SCR Scratch Pad Register 36 U1TRE Transmit Enable Register

37 Peryferia port szeregowy RS232 - generator prędkości transmisji Generator prędkości transmisji stanowi 16-bitowy dzielnik sygnału PCLK (zegar układu), który generuje sygnał dla układu UART PCLK DZIELNIK Baud x16 Rejestr U1DLM tylko 8 bitów DZIELNIK = PCLK/ (16 x Baud Rate) Rejestr U1DLL tylko 8 bitów Do poprawnego działania układu UART, zegar modułu powinien mieć częstotliwość 16 razy większą niż wynikającą z szybkości transmisji 37 Przy założeniu PCLK=12MHz, Baud Rate =9600, Dzielnik = 78,125 Do rejestru U1DLL zapiszemy jednak wartość 78, a U1DLM = 0

38 Peryferia port szeregowy RS232 - generator prędkości transmisji 38 DLAB w LCR musi być ustawiony w celu dostępu do DLL I DLM s.418

39 Peryferia port szeregowy RS232 - generator prędkości transmisji DLL i DLM umożliwiaja uzyskanie standardowej prędkości: 9600, 19200, itp. Dla rezonatora kwarcowego o częstotliwości podzielnej bez reszty (np. 11,0592 MHz). Budowa rejestru U0FDR - Fractional Divider Register MULVALLL mianownik 39 DIVADDVAL licznika

40 Peryferia port szeregowy RS232 - generator prędkości transmisji

41 Peryferia port szeregowy RS232 - generator prędkości transmisji PCLK = MHz, BR = 9600 DL est = PCLK 16 x BR = MHz (16 x 9600) = 96 6,51 4 = 1,628 DIVADDVAL = 0, MULVAL = 1, DLM = 0, DLL = 96 PCLK = 12 MHz, BR = DL est = PCLK (16 x BR) = 12MHz (16 x ) = 6,51 41 Szukamy dzielnika wartości 6,51 4 6,51 4 = 1,628 DLL = 4

42 Peryferia port szeregowy RS232 - generator prędkości transmisji PCLK = MHz, BR = 9600 DL est = PCLK 16 x BR = MHz (16 x 9600) = 96 DIVADDVAL = 0, MULVAL = 1, DLM = 0, DLL = 96 PCLK = 12 MHz, BR = DL est = PCLK (16 x BR) = 12MHz (16 x ) = 6,51 42 Szukamy dzielnika wartości 6,51 4 6,51 4 = 1,628 DLL = 4 DIVADDVAL = 5, MULVAL = 8, DLM = 0, DLL = 4

43 Peryferia port szeregowy RS232 - rejestry LCR - Line Control Register Budowa rejestru U1LCR - Line Control Register DLAB - Divisor Latch Access Bit zezwolenie na dostęp do dzielnika Break Control wstrzymanie transmisji Parity Select rodzaj kontroli parzystości Parity Enable kontrola parzystości Stop Bit Select bity stopu Word Length Select szerokość słowa danych od 5 (00b) do 8 (11b) bitów Baud Rate 9600, 8 bitów danych, brak kontroli parzystości i 1 bitu stopu: 43 U1LCR = 0b =0x83

44 Peryferia port szeregowy RS232 - rejestry LSR - Line Control Register Budowa rejestru U1LSR - Line Status Register Error in RX FIFO (RXFE) Transmitter Empty (TEMT) zarówno bufor nad. i odb. puste Transmitter Holding Register Empty bit =1, U1THR pusty Break Control wstrzymanie transmisji Framing Error błąd ramki, brak bitu stopu Parity Error błąd parzystości Overrun Error nadpisanie nieodebranych danych Receiver Data Ready 0 odbiornik gotowy do odebrania danych 44 while (!(UxLSR & 0x20)); return (UxTHR = ch); while (!(UxLSR & 0x00)); return (UxRBR);

45 Peryferia port szeregowy RS232 - UART1 na przykładzie LPC2368 Procedura obsługi portu UART START REJ. PCONP bit PCUART1 Power Control Peripheral włączenie UART REJ. U1DLL i U1DLM Divisor Latch LSB, MSB wartość dzielnika REJ. PCLK_SEL0 bit PCLK_UART1 Peripheral Clock wybór źródła sygnału REJ. PINMODE, PINSEL - multiplekser wyjściowy, stan linii REJ. U1LCR bit DLAB Line Control Register parametry transmisji REJ. U0FCR bit FIFO enable FIFO Control Register T Przerwanie N 45

46 Peryferia przetwornik ADC - na przykładzie LPC2368 procedura obsługi T Przerwanie N REJ. U1LCR bit DLAB =0 Line Control Register T Nadawanie N VICVectCnt VICVectAddr VICIntEnable U1LSR & 0x20 załaduj U1THR T Odbiór 46 U1LSR & 0x01 pobierz U1RBR

47 Peryferia port szeregowy RS232 - rejestry LSR - Line Control Register Budowa rejestru U0FCR - FIFO Control Register RX Trigger Level po ilu znakach zostanie wygenerowane przerwanie Zarezerwowane TX FIFO Reset kasowanie znaków z nadajnika RX FIFO Reset kasowanie znajów z odbiornika FIFO Enable włączenie kolejki FIFO 47

48 Peryferia port szeregowy RS232 - rejestry IRR - Interrupt Identification Register U0IRR - Interrupt Identification Register U0IER - Interrupt Enable Register 48

49 Zegar systemowy CLK kilka pf 5 Źródło sygnału: generator sygnałowy, rezonator kwarcowy częstotliwość sygnału zegarowego: 1 MHz 24 MHz

50 5 Zegar systemowy CLK

51 Zegar systemowy możliwe ścieżki CLKSRCSEL PLLCON PLLCFG PLLSTAT PLLFEED 32 khz 24 MHz CCLK 5 PLLCLK

52

53

54 PLL- budowa pętli Current Controlled Oscillator N-DIVIDER M-MULTIPLIER 2 PLLCFG - mnożnik: ( ) MHz 5 PLLCFG - dzielnik: 1-32 F CCO = (2 M F IN )/N Dla: N=16, M=125, F CCO = (18,432*2*125)/16 = 288 MHz

55 PLL- procedura inicjalizacji PLL - UM10211 str.42 START Odłącz pętlę PLL PLLCON = (0<<1) POTWIERDZ PLLFEED=0xAA, PLLFEED=0x55 Wyłącz pętlę PLL PLLCON = 0 POTWIERDZ PLLFEED=0xAA, PLLFEED=0x55 Zapisz wartość do N i M PLLCFG M(14:0), N(23:16) POTWIERDZ PLLFEED=0xAA, PLLFEED=0x55 Włącz pętlę PLL PLLCON = 1 POTWIERDZ PLLFEED=0xAA, PLLFEED=0x55 while (((PLLSTAT & (1<< 26))== 0)) 56 Ustaw podział dla rdzenia CCLKSEL (7:0) Wskaż źródło sygnału SSCS = (1<<5) N Sprawdź czy synchroniz.? PLLSTAT bit PLOCK

56 PLL- procedura inicjalizacji PLL - UM10211 str.42 while (((PLLSTAT & (1<< 26))== 0)) N Sprawdź czy synchroniz.? PLLSTAT bit PLOCK T Podłącz pętlę PLL PLLCON = 3 POTWIERDZ PLLFEED=0xAA, PLLFEED=0x55 57 N Sprawdź czy PLL działa z określoną częstotliwością? PLLSTAT bit PLLC T GOTOWE

57 Dane MAM Memory Acceleration Module Zadanie: przyspieszenie dostępu do pamięci FLASH 5 Rdzeń ARM Interfejs adres 15 Pamięć FLASH Bufory Magistrala Local Bus 128 Pamięć RAM szybka Flash cykl zapis/odczyt ~50 ns Przy założeniu, że procesor działa przy 60 MHz, czas dostępu do pamięci to ~16,33 ns 128 bitowa organizacja pamięci odczyt czterech 32-bitowych rozkazów ARM lub osiem 16- bitowych Thumb Data Buffer Prefetch Buffer Branch Tail Buffer

58 Kod sekwencyjny Dane i skoki Zarówno dane i kod MAM Memory Acceleration Module TRYB 0 TRYB 1 TRYB 2 Rdzeń ARM Rdzeń ARM Rdzeń ARM MAM MAM MAM FLASH FLASH FLASH 59 MAM tryby pracy: całkowicie wyłączony (tylko Flash), częściowo włączony (Flash + MAM), całkowicie załączony (MAM)

59 60 Dziękuję za uwagę

Procesory osadzone ETD 7211 W

Procesory osadzone ETD 7211 W Procesory osadzone ETD 7211 W4 05.11.2018 Przypomnienie Barrel shifter Instrukcje warunkowe ARM magistrale połączeniowe GPIO FGPIO ARM7 - przesuwnik bitowy (ang. Barrel shifter) Barrel shifter wielopozycyjny

Bardziej szczegółowo

Memory Map for LPC2138

Memory Map for LPC2138 Memory Map for LPC2138 4 GB 3,75 GB 3,5 GB AHB Peripherals VPB Peripherals 0xFFFF FFFF 0xF000 0000 0xE000 0000 Reserved Address Space 2 GB Boot Block (re-mapped from On-Chip Flash memory) 0x8000 0000 Reserved

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203. Komunikacja z układami cyfrowymi W dr inż. Daniel Kopiec

Programowanie Układów Logicznych kod kursu: ETD6203. Komunikacja z układami cyfrowymi W dr inż. Daniel Kopiec Programowanie Układów Logicznych kod kursu: ETD6203 Komunikacja z układami cyfrowymi W5 30.03.2016 dr inż. Daniel Kopiec Plan wykładu 1 2 3 4 5 6 Standard komunikacji RS232 Enkoder obrotowy Wyświetlacz

Bardziej szczegółowo

Mikrokontrolery z rdzeniem ARM, część 21

Mikrokontrolery z rdzeniem ARM, część 21 Mikrokontrolery z rdzeniem ARM, część 21 Przetwarzanie A/C i C/A K U R S Przetwornik analogowo cyfrowy Mikrokontrolery LPC2000, nie wyróżniają się niczym szczególnym, jeżeli chodzi o przetworniki A/C i

Bardziej szczegółowo

Uniwersalny asynchroniczny. UART Universal Asynchronous Receier- Transmiter

Uniwersalny asynchroniczny. UART Universal Asynchronous Receier- Transmiter UART Universal Asynchronous Receier- Transmiter Cel projektu: Zbudowanie układu transmisji znaków z komputera na wyświetlacz zamontowany na płycie Spartan-3AN, poprzez łacze RS i program TeraTerm. Laboratorium

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA

TECHNIKA MIKROPROCESOROWA LABORATORIUM TECHNIKA MIKROPROCESOROWA Port transmisji szeregowej USART ATmega Opracował: Tomasz Miłosławski 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się ze sposobami komunikacji mikrokontrolera

Bardziej szczegółowo

System interfejsu RS 232C opracowali P. Targowski i M. Rębarz

System interfejsu RS 232C opracowali P. Targowski i M. Rębarz System interfejsu RS 232C opracowali P. Targowski i M. Rębarz Standard RS 232C (Recommended Standard) został ustanowiony w 1969 r. przez Electronic Industries Association. Definiuje on sposób nawiązania

Bardziej szczegółowo

Procesory osadzone ETD 7211 W

Procesory osadzone ETD 7211 W Procesory osadzone ETD 7211 W6 26.11.2018 Peryferia przetwornik ADC - na przykładzie LPC2368 Parametry przetwornika ADC: 6 kanałów multipleksowany, konwersja od 3 do 10 bitów, zakres pomiarowy 0 3V, indywidualny

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA

TECHNIKA MIKROPROCESOROWA LABORATORIUM TECHNIKA MIKROPROCESOROWA Port transmisji szeregowej USART MCS'51 Opracował: Tomasz Miłosławski 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się ze sposobami komunikacji mikrokontrolera

Bardziej szczegółowo

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie:

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie: Zaliczenie Termin zaliczenia: 14.06.2007 Sala IE 415 Termin poprawkowy: >18.06.2007 (informacja na stronie: http://neo.dmcs.p.lodz.pl/tm/index.html) 1 Współpraca procesora z urządzeniami peryferyjnymi

Bardziej szczegółowo

Programowalne układy logiczne kod kursu: ETD Układy sekwencyjne W

Programowalne układy logiczne kod kursu: ETD Układy sekwencyjne W Programowalne układy logiczne kod kursu: ETD008270 Układy sekwencyjne W6 10.05.2019 mgr inż. Maciej Rudek Układy kombinacyjne - przypomnienie Układ kombinacyjny jest to układ dla którego zmiana na wejściu

Bardziej szczegółowo

Mikroprocesory i Mikrosterowniki Analog-Digital Converter Konwerter Analogowo-Cyfrowy

Mikroprocesory i Mikrosterowniki Analog-Digital Converter Konwerter Analogowo-Cyfrowy Mikroprocesory i Mikrosterowniki Analog-Digital Converter Konwerter Analogowo-Cyfrowy Wydział Elektroniki Mikrosystemów i Fotoniki dr inż. Piotr Markowski Na prawach rękopisu. Na podstawie dokumentacji

Bardziej szczegółowo

Technika Mikroprocesorowa

Technika Mikroprocesorowa Technika Mikroprocesorowa Dariusz Makowski Katedra Mikroelektroniki i Technik Informatycznych tel. 631 2648 dmakow@dmcs.pl http://neo.dmcs.p.lodz.pl/tm 1 System mikroprocesorowy? (1) Magistrala adresowa

Bardziej szczegółowo

Techniki mikroprocesorowe i systemy wbudowane

Techniki mikroprocesorowe i systemy wbudowane Intel 8051 układy Techniki mikroprocesorowe i systemy wbudowane Wykład 6 Układy oparte na procesorach rodziny Intel 51 Wojciech Kordecki wojciech.kordecki@pwsz-legnica.eu Państwowa Wyższa Szkoła Zawodowa

Bardziej szczegółowo

IC200UDR002 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO

IC200UDR002 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO IC200UDR002 8 wejść dyskretnych 24 VDC, logika dodatnia/ujemna. Licznik impulsów wysokiej częstotliwości. 6 wyjść przekaźnikowych 2.0 A. Port: RS232. Zasilanie: 24 VDC. Sterownik VersaMax Micro UDR002

Bardziej szczegółowo

Urządzenia peryferyjne RS-232. Wykład 2

Urządzenia peryferyjne RS-232. Wykład 2 Urządzenia peryferyjne RS-232 Wykład 2 Transmisja szeregowa Poprzez kanały telekomunikacyjne Zaleta: niskie koszty Wymaga konwersji szeregowo/równoległej np. rejestr przesuwny Dwie metody: asynchroniczna

Bardziej szczegółowo

Hardware mikrokontrolera X51

Hardware mikrokontrolera X51 Hardware mikrokontrolera X51 Ryszard J. Barczyński, 2016 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Hardware mikrokontrolera X51 (zegar)

Bardziej szczegółowo

1. Tworzenie nowego projektu.

1. Tworzenie nowego projektu. Załącznik do Instrukcji 1. Tworzenie nowego projektu. Wybieramy opcję z menu głównego New->QNX C Project. Wprowadzamy nazwę przechodzimy do następnego kroku NEXT. Wybieramy platformę docelową oraz warianty

Bardziej szczegółowo

Wyświetlacz alfanumeryczny LCD zbudowany na sterowniku HD44780

Wyświetlacz alfanumeryczny LCD zbudowany na sterowniku HD44780 Dane techniczne : Wyświetlacz alfanumeryczny LCD zbudowany na sterowniku HD44780 a) wielkość bufora znaków (DD RAM): 80 znaków (80 bajtów) b) możliwość sterowania (czyli podawania kodów znaków) za pomocą

Bardziej szczegółowo

. Rodzaje transmisji sygnału i RS-232

. Rodzaje transmisji sygnału i RS-232 . Rodzaje transmisji sygnału i RS-232 1. Transmisja szeregowa i równoległa Transmisja sygnału może przebiegać w różnoraki sposób. Najbardziej podstawowym z podziałów, jest podział transmisji sygnału na

Bardziej szczegółowo

LABORATORIUM PROCESORY SYGNAŁOWE W AUTOMATYCE PRZEMYSŁOWEJ. Przetwornik ADC procesora sygnałowego F/C240 i DAC C240 EVM

LABORATORIUM PROCESORY SYGNAŁOWE W AUTOMATYCE PRZEMYSŁOWEJ. Przetwornik ADC procesora sygnałowego F/C240 i DAC C240 EVM LABORATORIUM PROCESORY SYGNAŁOWE W AUTOMATYCE PRZEMYSŁOWEJ Przetwornik ADC procesora sygnałowego F/C240 i DAC C240 EVM Strona 1 z 7 Opracował mgr inż. Jacek Lis (c) ZNE 2004 1.Budowa przetwornika ADC procesora

Bardziej szczegółowo

Wstęp...9. 1. Architektura... 13

Wstęp...9. 1. Architektura... 13 Spis treści 3 Wstęp...9 1. Architektura... 13 1.1. Schemat blokowy...14 1.2. Pamięć programu...15 1.3. Cykl maszynowy...16 1.4. Licznik rozkazów...17 1.5. Stos...18 1.6. Modyfikowanie i odtwarzanie zawartości

Bardziej szczegółowo

Urządzenia peryferyjne procesora ColdFire

Urządzenia peryferyjne procesora ColdFire Urządzenia peryferyjne procesora ColdFire 1 Moduł generatora sygnału zegarowego (Clock Module) 2 Generator z pętlą PLL (1) Pętla synchronizacji fazy, pętla sprzężenia fazowego, PLL (ang. Phase Locked Loop)

Bardziej szczegółowo

Zygmunt Kubiak Instytut Informatyki Politechnika Poznańska

Zygmunt Kubiak Instytut Informatyki Politechnika Poznańska Zygmunt Kubiak Instytut Informatyki Politechnika Poznańska Współpraca z układami peryferyjnymi i urządzeniami zewnętrznymi Testowanie programowe (odpytywanie, przeglądanie) System przerwań Testowanie programowe

Bardziej szczegółowo

Programowanie mikrokontrolerów. 15 stycznia 2008

Programowanie mikrokontrolerów. 15 stycznia 2008 Programowanie mikrokontrolerów Marcin Engel Marcin Peczarski 15 stycznia 2008 RS232 Jeden z najstarszych interfejsów szeregowych Pierwotne przeznaczenie to łączenie terminali znakowych z komputerem, często

Bardziej szczegółowo

Układy czasowo-licznikowe w systemach mikroprocesorowych

Układy czasowo-licznikowe w systemach mikroprocesorowych Układy czasowo-licznikowe w systemach mikroprocesorowych 1 W każdym systemie mikroprocesorowym znajduje zastosowanie układ czasowy lub układ licznikowy Liczba liczników stosowanych w systemie i ich długość

Bardziej szczegółowo

Programowanie mikrokontrolerów. 8 listopada 2007

Programowanie mikrokontrolerów. 8 listopada 2007 Programowanie mikrokontrolerów Marcin Engel Marcin Peczarski 8 listopada 2007 Alfanumeryczny wyświetlacz LCD umożliwia wyświetlanie znaków ze zbioru będącego rozszerzeniem ASCII posiada zintegrowany sterownik

Bardziej szczegółowo

Zastosowania mikrokontrolerów w przemyśle

Zastosowania mikrokontrolerów w przemyśle Zastosowania mikrokontrolerów w przemyśle Cezary MAJ Katedra Mikroelektroniki i Technik Informatycznych Współpraca z pamięciami zewnętrznymi Interfejs równoległy (szyna adresowa i danych) Multipleksowanie

Bardziej szczegółowo

PRZETWORNIK ADC w mikrokontrolerach Atmega16-32

PRZETWORNIK ADC w mikrokontrolerach Atmega16-32 Zachodniopomorski Uniwersytet Technologiczny WYDZIAŁ ELEKTRYCZNY Katedra Inżynierii Systemów, Sygnałów i Elektroniki LABORATORIUM TECHNIKA MIKROPROCESOROWA PRZETWORNIK ADC w mikrokontrolerach Atmega16-32

Bardziej szczegółowo

Wykład 4. Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430

Wykład 4. Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430 Wykład 4 Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430 Mikrokontrolery PIC Mikrokontrolery PIC24 Mikrokontrolery PIC24 Rodzina 16-bitowych kontrolerów RISC Podział na dwie podrodziny: PIC24F

Bardziej szczegółowo

Mikrokontroler AVR ATmega32 - wykład 9

Mikrokontroler AVR ATmega32 - wykład 9 SWB - Mikrokontroler AVR ATmega32 - wykład 9 asz 1 Mikrokontroler AVR ATmega32 - wykład 9 Adam Szmigielski aszmigie@pjwstk.edu.pl SWB - Mikrokontroler AVR ATmega32 - wykład 9 asz 2 CechyµC ATmega32 1.

Bardziej szczegółowo

Wykład 2. Przegląd mikrokontrolerów 8-bit: -AVR -PIC

Wykład 2. Przegląd mikrokontrolerów 8-bit: -AVR -PIC Wykład 2 Przegląd mikrokontrolerów 8-bit: -AVR -PIC Mikrokontrolery AVR Mikrokontrolery AVR ATTiny Główne cechy Procesory RISC mało instrukcji, duża częstotliwość zegara Procesory 8-bitowe o uproszczonej

Bardziej szczegółowo

Szkolenia specjalistyczne

Szkolenia specjalistyczne Szkolenia specjalistyczne AGENDA Programowanie mikrokontrolerów w języku C na przykładzie STM32F103ZE z rdzeniem Cortex-M3 GRYFTEC Embedded Systems ul. Niedziałkowskiego 24 71-410 Szczecin info@gryftec.com

Bardziej szczegółowo

Wbudowane układy komunikacyjne cz. 1 Wykład 10

Wbudowane układy komunikacyjne cz. 1 Wykład 10 Wbudowane układy komunikacyjne cz. 1 Wykład 10 Wbudowane układy komunikacyjne UWAGA Nazwy rejestrów i bitów, ich lokalizacja itd. odnoszą się do mikrokontrolera ATmega32 i mogą być inne w innych modelach!

Bardziej szczegółowo

WYDZIAŁ ELEKTRYCZNY KATEDRA TELEKOMUNIKACJI I APARATURY ELEKTRONICZNEJ. Instrukcja do zajęć laboratoryjnych. Numer ćwiczenia: 4

WYDZIAŁ ELEKTRYCZNY KATEDRA TELEKOMUNIKACJI I APARATURY ELEKTRONICZNEJ. Instrukcja do zajęć laboratoryjnych. Numer ćwiczenia: 4 Politechnika Białostocka WYDZIAŁ ELEKTRYCZNY KATEDRA TELEKOMUNIKACJI I APARATURY ELEKTRONICZNEJ Instrukcja do zajęć laboratoryjnych Temat ćwiczenia: Układy DMA, przetwornik cyfrowo-analogowy, transmisja

Bardziej szczegółowo

Programowanie mikrokontrolerów 2.0

Programowanie mikrokontrolerów 2.0 4.1 Programowanie mikrokontrolerów 2.0 Taktowanie Marcin Engel Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 22 listopada 2016 4.2 Drzewo taktowania w STM32F411 Źródło: RM0383 Reference

Bardziej szczegółowo

dokument DOK 02-05-12 wersja 1.0 www.arskam.com

dokument DOK 02-05-12 wersja 1.0 www.arskam.com ARS3-RA v.1.0 mikro kod sterownika 8 Linii I/O ze zdalną transmisją kanałem radiowym lub poprzez port UART. Kod przeznaczony dla sprzętu opartego o projekt referencyjny DOK 01-05-12. Opis programowania

Bardziej szczegółowo

Programowanie Mikrokontrolerów

Programowanie Mikrokontrolerów Programowanie Mikrokontrolerów Wyświetlacz alfanumeryczny oparty na sterowniku Hitachi HD44780. mgr inż. Paweł Poryzała Zakład Elektroniki Medycznej Alfanumeryczny wyświetlacz LCD Wyświetlacz LCD zagadnienia:

Bardziej szczegółowo

PUNKTOWE STEROWNIKI VERSAMAX MICRO

PUNKTOWE STEROWNIKI VERSAMAX MICRO 1.7 64-PUNKTOWE STEROWNIKI VERSAMAX MICRO IC200UDD064 40 wejść dyskretnych 24 VDC, 24 wyjścia tranzystorowe 24 VDC (zabezpieczenie przed zwarciem i przeciąŝeniem), wbudowany port RS232, drugi port dostępny

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA II

TECHNIKA MIKROPROCESOROWA II Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki TECHNIKA MIKROPROCESOROWA II LAB 6 Moduł UART - współpraca z komputerem poprzez BlueTooth Mariusz Sokołowski

Bardziej szczegółowo

Wstęp: Interfejs portu równoległego 6821 i portu szeregowego 6850 firmy Motorola

Wstęp: Interfejs portu równoległego 6821 i portu szeregowego 6850 firmy Motorola Wstęp: Interfejs portu równoległego 6821 i portu szeregowego 6850 firmy Motorola Struktura systemu 68008 z układami peryferyjnymi 6821, 6050 Na rysunku 1.1 pokazano strukturę stanowiska z interfejsami

Bardziej szczegółowo

Kod produktu: MP01105T

Kod produktu: MP01105T MODUŁ INTERFEJSU DO POMIARU TEMPERATURY W STANDARDZIE Właściwości: Urządzenie stanowi bardzo łatwy do zastosowania gotowy interfejs do podłączenia max. 50 czujników temperatury typu DS18B20 (np. gotowe

Bardziej szczegółowo

UW-DAL-MAN v2 Dotyczy urządzeń z wersją firmware UW-DAL v5 lub nowszą.

UW-DAL-MAN v2 Dotyczy urządzeń z wersją firmware UW-DAL v5 lub nowszą. Dokumentacja techniczna -MAN v2 Dotyczy urządzeń z wersją firmware v5 lub nowszą. Spis treści: 1 Wprowadzenie... 3 2 Dane techniczne... 3 3 Wyprowadzenia... 3 4 Interfejsy... 4 4.1 1-WIRE... 4 4.2 RS232

Bardziej szczegółowo

2. Architektura mikrokontrolerów PIC16F8x... 13

2. Architektura mikrokontrolerów PIC16F8x... 13 Spis treści 3 Spis treœci 1. Informacje wstępne... 9 2. Architektura mikrokontrolerów PIC16F8x... 13 2.1. Budowa wewnętrzna mikrokontrolerów PIC16F8x... 14 2.2. Napięcie zasilania... 17 2.3. Generator

Bardziej szczegółowo

1. Wprowadzenie Programowanie mikrokontrolerów Sprzęt i oprogramowanie... 33

1. Wprowadzenie Programowanie mikrokontrolerów Sprzęt i oprogramowanie... 33 Spis treści 3 1. Wprowadzenie...11 1.1. Wstęp...12 1.2. Mikrokontrolery rodziny ARM...13 1.3. Architektura rdzenia ARM Cortex-M3...15 1.3.1. Najważniejsze cechy architektury Cortex-M3... 15 1.3.2. Rejestry

Bardziej szczegółowo

Programowanie mikrokontrolerów AVR z rodziny ATmega.

Programowanie mikrokontrolerów AVR z rodziny ATmega. Programowanie mikrokontrolerów AVR z rodziny ATmega. Materiały pomocnicze Jakub Malewicz jakub.malewicz@pwr.wroc.pl Wszelkie prawa zastrzeżone. Kopiowanie w całości lub w częściach bez zgody i wiedzy autora

Bardziej szczegółowo

Kod produktu: MP01105

Kod produktu: MP01105 MODUŁ INTERFEJSU KONTROLNO-POMIAROWEGO DLA MODUŁÓW Urządzenie stanowi bardzo łatwy do zastosowania gotowy interfejs kontrolno-pomiarowy do podłączenia modułów takich jak czujniki temperatury, moduły przekaźnikowe,

Bardziej szczegółowo

Architektura mikrokontrolera MCS51

Architektura mikrokontrolera MCS51 Architektura mikrokontrolera MCS51 Ryszard J. Barczyński, 2017 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Architektura mikrokontrolera

Bardziej szczegółowo

4 Transmisja szeregowa na przykładzie komunikacji dwukierunkowej z komputerem PC, obsługa wyświetlacza LCD.

4 Transmisja szeregowa na przykładzie komunikacji dwukierunkowej z komputerem PC, obsługa wyświetlacza LCD. 13 4 Transmisja szeregowa na przykładzie komunikacji dwukierunkowej z komputerem PC, obsługa wyświetlacza LCD. Zagadnienia do przygotowania: - budowa i działanie interfejsu szeregowego UART, - tryby pracy,

Bardziej szczegółowo

Przetworniki analogowo-cyfrowe (A/C)

Przetworniki analogowo-cyfrowe (A/C) Przetworniki analogowo-cyfrowe (A/C) Przetworniki analogowo-cyfrowe to urządzenia, przetwarzające ciągły analogowy sygnał wejściowy jedno wejście na odpowiadający mu dyskretny cyfrowy sygnał wyjściowy

Bardziej szczegółowo

Architektura mikrokontrolera MCS51

Architektura mikrokontrolera MCS51 Architektura mikrokontrolera MCS51 Ryszard J. Barczyński, 2018 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Architektura mikrokontrolera

Bardziej szczegółowo

MIKROPROCESORY architektura i programowanie

MIKROPROCESORY architektura i programowanie Struktura portów (CISC) Port to grupa (zwykle 8) linii wejścia/wyjścia mikrokontrolera o podobnych cechach i funkcjach Większość linii we/wy może pełnić dwie lub trzy rozmaite funkcje. Struktura portu

Bardziej szczegółowo

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne Spis treści 5 Spis treœci Co to jest mikrokontroler? Wprowadzenie... 11 Budowa systemu komputerowego... 12 Wejścia systemu komputerowego... 12 Wyjścia systemu komputerowego... 13 Jednostka centralna (CPU)...

Bardziej szczegółowo

Opis procedur asemblera AVR

Opis procedur asemblera AVR Piotr Kalus PWSZ Racibórz 10.05.2008 r. Opis procedur asemblera AVR init_lcd Plik: lcd4pro.hvr Procedura inicjuje pracę alfanumerycznego wyświetlacza LCD za sterownikiem HD44780. Wyświetlacz działa w trybie

Bardziej szczegółowo

Kurs Elektroniki. Część 5 - Mikrokontrolery. www.knr.meil.pw.edu.pl 1/26

Kurs Elektroniki. Część 5 - Mikrokontrolery. www.knr.meil.pw.edu.pl 1/26 Kurs Elektroniki Część 5 - Mikrokontrolery. www.knr.meil.pw.edu.pl 1/26 Mikrokontroler - autonomiczny i użyteczny system mikroprocesorowy, który do swego działania wymaga minimalnej liczby elementów dodatkowych.

Bardziej szczegółowo

Pamięci i urządzenia peryferyjne Wprowadzenie do przedmiotu

Pamięci i urządzenia peryferyjne Wprowadzenie do przedmiotu Pamięci i urządzenia peryferyjne Wprowadzenie do przedmiotu Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

Komunikacja w mikrokontrolerach Laboratorium

Komunikacja w mikrokontrolerach Laboratorium Laboratorium Ćwiczenie 2 Magistrala UART Program ćwiczenia: konfiguracja transmisji danych między komputerem PC a mikrokontrolerem przy użyciu magistrali UART. Zagadnienia do przygotowania: podstawy programowania

Bardziej szczegółowo

ADVANCE ELECTRONIC. Instrukcja obsługi aplikacji. Modbus konfigurator. Modbus konfigurator. wersja 1.1

ADVANCE ELECTRONIC. Instrukcja obsługi aplikacji. Modbus konfigurator. Modbus konfigurator. wersja 1.1 Instrukcja obsługi aplikacji 1 1./ instalacja aplikacji. Aplikacja służy do zarządzania, konfigurowania i testowania modułów firmy Advance Electronic wyposażonych w RS485 pracujących w trybie half-duplex.

Bardziej szczegółowo

Charakterystyka mikrokontrolerów. Przygotowali: Łukasz Glapiński, Mateusz Kocur, Adam Kokot,

Charakterystyka mikrokontrolerów. Przygotowali: Łukasz Glapiński, Mateusz Kocur, Adam Kokot, Charakterystyka mikrokontrolerów Przygotowali: Łukasz Glapiński, 171021 Mateusz Kocur, 171044 Adam Kokot, 171075 Plan prezentacji Co to jest mikrokontroler? Historia Budowa mikrokontrolera Wykorzystywane

Bardziej szczegółowo

Architektura Systemów Komputerowych. Transmisja szeregowa danych Standardy magistral szeregowych

Architektura Systemów Komputerowych. Transmisja szeregowa danych Standardy magistral szeregowych Architektura Systemów Komputerowych Transmisja szeregowa danych Standardy magistral szeregowych 1 Transmisja szeregowa Idea transmisji szeregowej synchronicznej DOUT Rejestr przesuwny DIN CLK DIN Rejestr

Bardziej szczegółowo

INTERFEJSY SYSTEMÓW ELEKTRONICZNYCH. Interfejsy klasy RS

INTERFEJSY SYSTEMÓW ELEKTRONICZNYCH. Interfejsy klasy RS INTERFEJSY SYSTEMÓW ELEKTRONICZNYCH Interfejsy klasy RS Grzegorz Lentka/Marek Niedostatkiewicz Katedra Optoelektroniki i Systemów Elektronicznych ETI PG 2010 RS232 (1) RS232-1962, RS232C - 1969, Electronic

Bardziej szczegółowo

Przemysłowe Sieci informatyczne

Przemysłowe Sieci informatyczne Wykład #3 Transmisja szeregowa Przemysłowe Sieci informatyczne Opracował dr inż. Jarosław Tarnawski Plan wykładu Transmisja szeregowa i równoległa Transmisja synchroniczna i asynchroniczna Simpleks, pół

Bardziej szczegółowo

Wbudowane układy peryferyjne cz. 3 Wykład 9

Wbudowane układy peryferyjne cz. 3 Wykład 9 Wbudowane układy peryferyjne cz. 3 Wykład 9 Komparator analogowy Komparator analogowy 2 Komparator analogowy Pozwala porównać napięcia na wejściu dodatnim i ujemnym Przerwanie może być wywołane obniżeniem

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH. PROCESORY OSADZONE kod kursu: ETD 7211 SEMESTR ZIMOWY 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH. PROCESORY OSADZONE kod kursu: ETD 7211 SEMESTR ZIMOWY 2017 Politechnika Wrocławska, Wydział Elektroniki Mikrosystemów i Fotoniki Wydziałowy Zakład Metrologii Mikro- i Nanostruktur LABORATORIUM UKŁADÓW PROGRAMOWALNYCH PROCESORY OSADZONE kod kursu: ETD 7211 SEMESTR

Bardziej szczegółowo

STM32Butterfly2. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107

STM32Butterfly2. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 STM32Butterfly2 Zestaw STM32Butterfly2 jest platformą sprzętową pozwalającą poznać i przetestować możliwości mikrokontrolerów z rodziny STM32 Connectivity

Bardziej szczegółowo

2. PORTY WEJŚCIA/WYJŚCIA (I/O)

2. PORTY WEJŚCIA/WYJŚCIA (I/O) 2. PORTY WEJŚCIA/WYJŚCIA (I/O) 2.1 WPROWADZENIE Porty I/O mogą pracować w kilku trybach: - przesyłanie cyfrowych danych wejściowych i wyjściowych a także dla wybrane wyprowadzenia: - generacja przerwania

Bardziej szczegółowo

Tab. 1. Opis wyprowadzeń układu SC16IS760

Tab. 1. Opis wyprowadzeń układu SC16IS760 NARZĘDZIA KONSTRUKTORA SC16IS760 Dodatkowe materiały na CD UART z interfejsem SPI/I 2 C Układy asynchronicznej transmisji szeregowej (UART) należą do standardowego zestawu wewnętrznych układów peryferyjnych

Bardziej szczegółowo

Struktura QSM (Queued Serial Module)

Struktura QSM (Queued Serial Module) Struktura QSM (Queued Serial Module) MW-ZPCiR-ICT-PWr 1 Nadajnik transmisji asynchronicznej (SCI) MW-ZPCiR-ICT-PWr 2 Odbiornik transmisji asynchronicznej (SCI) MW-ZPCiR-ICT-PWr 3 SCIbaud 32 f SYS SCBR

Bardziej szczegółowo

SYSTEM PRZERWAŃ ATmega 32

SYSTEM PRZERWAŃ ATmega 32 Zachodniopomorski Uniwersytet Technologiczny WYDZIAŁ ELEKTRYCZNY Katedra Inżynierii Systemów, Sygnałów i Elektroniki LABORATORIUM TECHNIKA MIKROPROCESOROWA SYSTEM PRZERWAŃ ATmega 32 Opracował: mgr inż.

Bardziej szczegółowo

Podstawowe urządzenia peryferyjne mikrokontrolera ATmega8 Spis treści

Podstawowe urządzenia peryferyjne mikrokontrolera ATmega8 Spis treści Podstawowe urządzenia peryferyjne mikrokontrolera ATmega8 Spis treści 1. Konfiguracja pinów2 2. ISP..2 3. I/O Ports..3 4. External Interrupts..4 5. Analog Comparator5 6. Analog-to-Digital Converter.6 7.

Bardziej szczegółowo

Porty GPIO w mikrokontrolerach STM32F3

Porty GPIO w mikrokontrolerach STM32F3 Zachodniopomorski Uniwersytet Technologiczny WYDZIAŁ ELEKTRYCZNY Katedra Inżynierii Systemów, Sygnałów i Elektroniki LABORATORIUM Podstawy Programowania Mikroprocesorów i Procesorów DSP Porty GPIO w mikrokontrolerach

Bardziej szczegółowo

LABORATORIUM. TIMERY w mikrokontrolerach Atmega16-32

LABORATORIUM. TIMERY w mikrokontrolerach Atmega16-32 Zachodniopomorski Uniwersytet Technologiczny WYDZIAŁ ELEKTRYCZNY Katedra Inżynierii Systemów, Sygnałów i Elektroniki LABORATORIUM TECHNIKA MIKROPROCESOROWA TIMERY w mikrokontrolerach Atmega16-32 Opracował:

Bardziej szczegółowo

4 Transmisja szeregowa, obsługa wyświetlacza LCD.

4 Transmisja szeregowa, obsługa wyświetlacza LCD. 1 4 Transmisja szeregowa, obsługa wyświetlacza LCD. Zagadnienia do przygotowania: - budowa i działanie interfejsu szeregowego UART, - tryby pracy, - ramka transmisyjna, - przeznaczenie buforów obsługi

Bardziej szczegółowo

SAIA PROGRAMOWALNY STEROWNIK PLC

SAIA PROGRAMOWALNY STEROWNIK PLC SAIA PROGRAMOWALNY STEROWNIK PLC SAIA BURGESS ELECTRONICS SABUR Sp. z. o. o. ul. Drużynowa 3A 02 950 Warszwa tel. (022) 844 75 20 fax. (022) 844 36 39 SAIA 1 @KEMOR SPIS TREŚCI 1. KABEL K111 KABEL DO PROGRAMOWANIA

Bardziej szczegółowo

Wykład 12. Przetwornik ADC

Wykład 12. Przetwornik ADC Wykład 12 Przetwornik Przetwornik analogowo-cyfrowy () Moduł w mikrokontrolerach Stellaris posiada rozdzielczość 10-bitów i cztery kanały wejściowe oraz dodatkowo wewnętrzny czujnik temperatury. Moduł

Bardziej szczegółowo

1.10 MODUŁY KOMUNIKACYJNE

1.10 MODUŁY KOMUNIKACYJNE ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO 1.10 MODUŁY KOMUNIKACYJNE IC200SET001 konwerter łącza RS (RS232 lub RS485) na Ethernet (10/100Mbit), obsługiwane protokoły: SRTP, Modbus TCP IC200USB001

Bardziej szczegółowo

IC200UDD110 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO

IC200UDD110 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO IC200UDD110 16 wejść dyskretnych 24 VDC, logika dodatnia/ujemna. Licznik impulsów wysokiej częstotliwości. 12 wyjść tranzystorowych 24 VDC. Porty: RS232, RS485. Zasilanie: 24 VDC. Sterownik VersaMax Micro

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA II

TECHNIKA MIKROPROCESOROWA II Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki TECHNIKA MIKROPROCESOROWA II LAB 6a Wykorzystanie USB do komunikacji z komputerem PC Mariusz Sokołowski http://www.fpga.agh.edu.pl/upt2

Bardziej szczegółowo

2. Budowa układów procesorowych rodziny TMS320C

2. Budowa układów procesorowych rodziny TMS320C 3 Wstęp...8 1. Procesory sygnałowe DSC (Digital Signal Controllers)...11 1.1. Przegląd układów procesorowych czasu rzeczywistego...13 1.2. Procesory rodziny TMS320C2000 firmy Texas Instruments...15 2.

Bardziej szczegółowo

Układ transmisji szeregowej AVR

Układ transmisji szeregowej AVR Układ transmisji szeregowej AVR Transmisja szeregowa/równoległa porównanie: w transmisji szeregowej dane wysyłane są bit po bicie, mniej przewodów niż w transmisji równoległej (dwa przewody elektryczne

Bardziej szczegółowo

Zewnętrzne układy peryferyjne cz. 1 Wykład 12

Zewnętrzne układy peryferyjne cz. 1 Wykład 12 Zewnętrzne układy peryferyjne cz. 1 Wykład 12 Wyświetlacz LCD zgodny z HD44780 Wyświetlacz LCD zgodny z HD44780 2 HD44780 Standardowy sterownik alfanumerycznych wyświetlaczy LCD opracowany przez firmę

Bardziej szczegółowo

Współpraca procesora z urządzeniami peryferyjnymi

Współpraca procesora z urządzeniami peryferyjnymi Współpraca procesora z urządzeniami peryferyjnymi 1 Współpraca procesora z urządzeniami peryferyjnymi Interfejsy dostępne w procesorach rodziny ColdFire: Interfejs równoległy, Interfejsy szeregowe: Interfejs

Bardziej szczegółowo

Zygmunt Kubiak Instytut Informatyki Politechnika Poznańska

Zygmunt Kubiak Instytut Informatyki Politechnika Poznańska Instytut Informatyki Politechnika Poznańska 12 bitowy przetwornik ADC Metoda SAR (ang. successive approximation) Konfigurowalna rozdzielczość: 12b, 10b, 8b,6b Do 19 kanałów analogowych pomiary z 16 źródeł

Bardziej szczegółowo

Układy zegarowe w systemie mikroprocesorowym

Układy zegarowe w systemie mikroprocesorowym Układy zegarowe w systemie mikroprocesorowym 1 Sygnał zegarowy, sygnał taktujący W każdym systemie mikroprocesorowym jest wymagane źródło sygnałów zegarowych. Wszystkie operacje wewnątrz jednostki centralnej

Bardziej szczegółowo

CompactPCI. PCI Industrial Computers Manufacturers Group (PICMG)

CompactPCI. PCI Industrial Computers Manufacturers Group (PICMG) PCI Industrial Computers Manufacturers Group (PICMG) nowy standard; nowa jakość komputerów realizujących krytyczne zadania w systemach pracujących w trudnych warunkach; Baza specyfikacji: format kaset

Bardziej szczegółowo

Układ sterowania, magistrale i organizacja pamięci. Dariusz Chaberski

Układ sterowania, magistrale i organizacja pamięci. Dariusz Chaberski Układ sterowania, magistrale i organizacja pamięci Dariusz Chaberski Jednostka centralna szyna sygnałow sterowania sygnały sterujące układ sterowania sygnały stanu wewnętrzna szyna danych układ wykonawczy

Bardziej szczegółowo

Instytut Teleinformatyki

Instytut Teleinformatyki Instytut Teleinformatyki Wydział Fizyki, Matematyki i Informatyki Politechnika Krakowska Mikroprocesory i Mikrokontrolery Zastosowanie przetwornika analogowo-cyfrowego do odczytywania napięcia z potencjometru

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Wykład 12 Jan Kazimirski 1 Magistrale systemowe 2 Magistrale Magistrala medium łączące dwa lub więcej urządzeń Sygnał przesyłany magistralą może być odbierany przez wiele urządzeń

Bardziej szczegółowo

Podstawy systemów mikroprocesorowych. Interfejs USART. Interfejsy szeregowe w mikrokontrolerach AVR

Podstawy systemów mikroprocesorowych. Interfejs USART. Interfejsy szeregowe w mikrokontrolerach AVR Podstawy systemów mikroprocesorowych Wykład nr 4 Interfejsy szeregowe dr Piotr Fronczak http://www.if.pw.edu.pl/~agatka/psm.html Komputery przesyłają dane na dwa sposoby: równolegle: Kilka bitów danych

Bardziej szczegółowo

MOBOT-RCR v2 miniaturowe moduły radiowe Bezprzewodowa transmisja UART

MOBOT-RCR v2 miniaturowe moduły radiowe Bezprzewodowa transmisja UART MOBOT-RCR v2 miniaturowe moduły radiowe Bezprzewodowa transmisja UART Własności MOBOT-RCR v2a: - pasmo komunikacji: ISM 433MHz lub 868MHz - zasięg 50m 300m * - zasilanie: z USB, - interfejs wyjściowy:

Bardziej szczegółowo

Transmisja danych cyfrowych

Transmisja danych cyfrowych ransmisja danych cyfrowych Mariusz Rawski rawski@tele.pw.edu.pl www.zpt.tele.pw.edu.pl/~rawski/ Mariusz Rawski 1 łytka laboratoryjna U1 Education Board Mariusz Rawski 2 Standard RS 232 Standard RS-232

Bardziej szczegółowo

Start Bity Bit Stop 1 Bit 0 1 2 3 4 5 6 7 Par. 1 2. Rys. 1

Start Bity Bit Stop 1 Bit 0 1 2 3 4 5 6 7 Par. 1 2. Rys. 1 Temat: Obsługa portu komunikacji szeregowej RS232 w systemie STRC51. Ćwiczenie 2. (sd) 1.Wprowadzenie do komunikacji szeregowej RS232 Systemy bazujące na procesorach C51 mogą komunikować się za pomocą

Bardziej szczegółowo

MODUŁ UNIWERSALNY UNIV 3

MODUŁ UNIWERSALNY UNIV 3 1. Cechy Moduł służy do budowy modułów systemu automatyki domowej HAPCAN. - Zawiera procesor CPU (PIC18F26K80) - Transceiver CAN MCP2551 - Układ wyprowadzeń zgodny z DIL-24 (15,24mm) - Zgodny z CAN 2.0B

Bardziej szczegółowo

LABORATORIUM. TIMERY w mikrokontrolerach Atmega16-32

LABORATORIUM. TIMERY w mikrokontrolerach Atmega16-32 Zachodniopomorski Uniwersytet Technologiczny WYDZIAŁ ELEKTRYCZNY Katedra Inżynierii Systemów, Sygnałów i Elektroniki LABORATORIUM TECHNIKA MIKROPROCESOROWA TIMERY w mikrokontrolerach Atmega16-32 Opracował:

Bardziej szczegółowo

Mikroprocesory i mikrosterowniki Wydział Elektroniki Mikrosystemów i Fotoniki Politechniki Wrocławskiej Ćwiczenie nr 4

Mikroprocesory i mikrosterowniki Wydział Elektroniki Mikrosystemów i Fotoniki Politechniki Wrocławskiej Ćwiczenie nr 4 1 Ćwiczenie nr 4 Program ćwiczenia: Interfejs szeregowy SPI obsługa sterownika ośmiopozycyjnego, 7-segmentowego wyświetlacza LED Interfejs szeregowy USART, komunikacja mikrokontrolera z komputerem PC.

Bardziej szczegółowo

Karta katalogowa JAZZ OPLC JZ20-T40/JZ20-J-T wejść cyfrowych, 2 wejścia analogowe/cyfrowe, 2 wejścia analogowe. 20 wyjść tranzystorowych

Karta katalogowa JAZZ OPLC JZ20-T40/JZ20-J-T wejść cyfrowych, 2 wejścia analogowe/cyfrowe, 2 wejścia analogowe. 20 wyjść tranzystorowych Karta katalogowa JAZZ OPLC JZ20-T40/JZ20-J-T40 16 wejść cyfrowych, 2 wejścia analogowe/cyfrowe, 2 wejścia analogowe 20 wyjść tranzystorowych Specyfikacja techniczna Zasilanie Napięcie zasilania 24 VDC

Bardziej szczegółowo

Temat nr 5. System czasu rzeczywistego bazujący na stałopozycyjnym procesorze sygnałowym. LABORATORIUM Procesory i komputery przemysłowe

Temat nr 5. System czasu rzeczywistego bazujący na stałopozycyjnym procesorze sygnałowym. LABORATORIUM Procesory i komputery przemysłowe LABORATORIUM Procesory i komputery przemysłowe Katedra Systemów Elektroniki Morskiej Wydział Elektroniki Telekomunikacji i Informatyki Politechnika Gdańska Temat nr 5 System czasu rzeczywistego bazujący

Bardziej szczegółowo

Wstęp. Opis ATMEGA128 MINI MODUŁ VE-APS-1406

Wstęp. Opis ATMEGA128 MINI MODUŁ VE-APS-1406 ATMEGA128 MINI MODUŁ VE-APS-1406 Wstęp Instrukcja użytkownika Opis Instrukcja prezentuje mini moduł z mikrokontrolerem rodziny AVR (firmy ATMEL) Atmega128 w obudowie TQFP 64. Procesor ATmega128 wyposażony

Bardziej szczegółowo

Obsługa przetwornika ADC na mikrokontrolerze ATmega8 CEZARY KLIMASZ OBSŁUGA PRZETWORNIKA ADC NA MIKROKONTROLERZE ATMEGA8

Obsługa przetwornika ADC na mikrokontrolerze ATmega8 CEZARY KLIMASZ OBSŁUGA PRZETWORNIKA ADC NA MIKROKONTROLERZE ATMEGA8 OBSŁUGA PRZETWORNIKA ADC NA MIKROKONTROLERZE ATMEGA8 Opracowanie zawiera treści różnych publikacji takich jak: książki, datasheety, strony internetowe Cezary Klimasz Kraków 2008 1 Spis treści 1. Wprowadzenie...

Bardziej szczegółowo

Samba OPLC SM35-J-T20

Samba OPLC SM35-J-T20 Karta katalogowa Samba OPLC SM35-J-T20 Unitronics SM35-J-T20 posiada wbudowane następujące wejścia/wyjścia: 12 wejść cyfrowych, które mogą zostać przekształcone w: o 3 szybkie wejścia licznikowe/enkoderowe

Bardziej szczegółowo