Systemy Czasu Rzeczywistego FPGA

Podobne dokumenty
Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA

Technika cyfrowa projekt: Sumator 4 bitowy równoległy

Specyfika projektowania Mariusz Rawski

Programowalne układy logiczne

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

Projektowanie Urządzeń Cyfrowych

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Wyświetlacz siedmiosegmentowy

Projektowanie Systemów Wbudowanych

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

Kodery, dekodery, transkodery Synteza sprzętu przy pomocy VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Układy FPGA w przykładach, część 2

Programowalne układy logiczne

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Instytut Teleinformatyki

KURS Hexcalcul (2) Implementacja kalkulatora kodu BCD na Hex w układzie programowalnym

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Modelowanie liczników w języku Verilog i ich implementacja w strukturze FPGA

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Instytut Teleinformatyki

Bezpieczeństwo informacji oparte o kryptografię kwantową

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Układy reprogramowalne i SoC Specjalizowane moduły FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

XC4000: LUT jako ROM Układy Cyfrowe i Systemy Wbudowane 2 Układy FPGA cz. 2 ROM32X1 VHDL inference example ROM 16x2b type

Sposoby projektowania systemów w cyfrowych

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje.

Projektowanie automatów z użyciem VHDL

Programowanie Układów Logicznych kod kursu: ETD6203 W dr inż. Daniel Kopiec. Pamięć w układach programowalnych

Projektowanie hierarchiczne Mariusz Rawski

Zakład Cyberbezpieczeństwa, Instytut Telekomunikacji, Politechnika Warszawska,

Aby w pełni przetestować układ o trzech wejściach IN_0, IN_1 i IN_2 chcemy wygenerować wszystkie możliwe kombinacje sygnałów wejściowych.

Instytut Teleinformatyki

Instytut Teleinformatyki

Wprowadzenie do architektury komputerów systemy liczbowe, operacje arytmetyczne i logiczne

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

Projekt prostego procesora

Parametryzacja przetworników analogowocyfrowych

Krótkie wprowadzenie do ModelSim i Quartus2

mgr inż. Maciej Rudek opracował: dr inż. Daniel Kopiec

Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i Rys. 9.1.

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej

Układy reprogramowalne i SoC Język VHDL (część 4)

SML3 październik

Tranzystor JFET i MOSFET zas. działania

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych

Laboratorium Wykorzystanie kalkulatora Windows do obliczania adresów sieciowych

Ćwiczenie 1 VHDL - Licznik 4-bitowy.

Układy reprogramowalne i SoC Implementacja w układach FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

FPGA, CPLD, SPLD. Synteza systemów reprogramowalnych 1/27. dr inż. Mariusz Kapruziak pok. 107, tel

Zestaw 3. - Zapis liczb binarnych ze znakiem 1

Programowalne Układy Cyfrowe Laboratorium

Mikrokontrolery AVR Wprowadzenie

MODBUS RTU wersja M1.14 protokół komunikacyjny wyświetlaczy LDN

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005

Realizacja stopera na układzie Spartan-II przy uŝyciu pakietu Xilinx ISE

Architektura systemów komputerowych Laboratorium 14 Symulator SMS32 Implementacja algorytmów

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań

Ćwiczenie 29 Temat: Układy koderów i dekoderów. Cel ćwiczenia

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji

Elektroniczny sejf hotelowy

LibreOffice Calc VBA

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011

Sprawdzian test egzaminacyjny GRUPA I

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D

Falownik MOTOVARIO LM16. Skrócona instrukcja obsługi

KOMUNIKACJA Z OTOCZENIEM MIKROKONTROLERA

Instytut Teleinformatyki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. Automaty stanów

Laboratorium z podstaw techniki cyfrowej Studia inżynierskie niestacjonarne/stacjonarne, II rok III semestr, 2016/2017. W ramach laboratorium używamy:

POLITECHNIKA SZCZECIŃSKA WYDZIAŁ ELEKTRYCZNY

Instalacja programu dreryk

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

mgr inż. Maciej Rudek opracował: dr inż. Daniel Kopiec

Systemy na Chipie. Robert Czerwiński

lekcja 8a Gry komputerowe MasterMind

Ćwiczenie D2 Przerzutniki. Wydział Fizyki UW

Pzetestuj działanie pętli while i do...while na poniższym przykładzie:

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA

Adresowanie obiektów. Adresowanie bitów. Adresowanie bajtów i słów. Adresowanie bajtów i słów. Adresowanie timerów i liczników. Adresowanie timerów

Interfejs analogowy LDN-...-AN

Projektowanie układów na schemacie

PUCY - Etap II - mikrokontroler 8-bitowy

Politechnika Śląska w Gliwicach

Podstawą w systemie dwójkowym jest liczba 2 a w systemie dziesiętnym liczba 10.

ZESTAW PRZEDŁUŻAJĄCY HDMI, FULL HD

LabVIEW PLATFORMA EDUKACYJNA Lekcja 6 LabVIEW i Arduino programy wykorzystujące wyświetlacz LCD, czujnik temperatury, PWM i diodę LED

Programowalne układy logiczne kod kursu: ETD Podstawy języka Verilog W

Arytmetyka. Arytmetyka. Magdalena Lemańska. Magdalena Lemańska,

Układy Cyfrowe laboratorium

Automatyzacja i robotyzacja procesów produkcyjnych

Transkrypt:

01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 06 autor: mgr inż. Mateusz Baran

01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2 2 Wiadomości wstępne... 3 2.1 Niezbędne wiadomości... 3 2.2 Literatura:... 3 3 Przebieg laboratorium... 3 3.1 Zadanie 1. Na ocenę 3.0 (dst)... 3 3.2 Zadanie 2. Na ocenę 4.0 (db)... 5 3.3 Zadanie 3. Na ocenę 5.0 (bdb)... 5

01. Systemy Czasu Rzeczywistego FPGA 3 2 Wiadomości wstępne Pierwsza część niniejszej instrukcji zawiera podstawowe wiadomości teoretyczne dotyczące omawianego tematu. Poznanie tych wiadomości umożliwi prawidłowe zrealizowanie praktycznej części laboratorium. 2.1 Niezbędne wiadomości Przed laboratorium należy zapoznać się z podstawowymi pojęciami dotyczącymi budowy układów FPGA: zasoby logiczne FPGA (CLB, slice, pamięć Block RAM, mnożarki), zasoby połączeniowe (programowalne połączenia wewnętrze, IOB), podstawy języka VHDL. Podczas laboratorium będzie wykorzystywany układ Digilent Basys2 oparty o układ Xilinx Spartan3E-250. 2.2 Literatura: [1] Podstawowy opis architektury układów FPGA: http://www.csd.uoc.gr/~hy220/2009f/lectures/11_basic_fpga_arch.pdf [2] Szczegóły budowy wykorzystywanych układów FPGA: http://www.xilinx.com/support/documentation/data_sheets/ds312.pdf [3] Podstawy języka VHDL: https://wiki.ittc.ku.edu/ittc/images/3/37/eecs_140_vhdl_tutorial.pdf 3 Przebieg laboratorium 3.1 Zadanie 1. Na ocenę 3.0 (dst) Pierwsze zadanie polega na wykorzystaniu mnożarek sprzętowych. Są to specjalne fragmenty układów FPGA dedykowane mnożeniu liczb całkowitych. Umożliwiają mnożenie liczb mających do 18 bitów każda. Układ FPGA na wyposażeniu laboratorium ma 12 mnożarek sprzętowych. Rozpocznij pracę od zadania omawiającego wyświetlacz siedmiosegmentowy. Wystarczająca będzie wersja wyświetlająca pojedynczą cyfrę szesnastkową. Dodaj nowy moduł IP o nazwie multiplier będący realizujący mnożenie (Rysunek 1). Następnie ustaw oba wejścia na czterobitowe liczby całkowite bez znaku (Rysunek 2). Liczby te będą zadawane za pomocą położenia przełączników (po cztery na każdą liczbę). Na kolejnej stronie ustawień wybierz realizację mnożarki za pomocą dedykowanych fragmentów układu ( Use Mults ). Pozostałe ustawienia pozostaw na wartościach domyślnych.

01. Systemy Czasu Rzeczywistego FPGA 4 Rysunek 1: Wybór mnożarki sprzętowej Rysunek 2: Ustawienia wejść modułu mnożącego Kolejnym krokiem jest wykorzystanie utworzonego modułu. Wykorzystaj poniższy kod: component multiplier Port ( clk : in STD_LOGIC;

01. Systemy Czasu Rzeczywistego FPGA 5 a : in STD_LOGIC_VECTOR(3 downto 0); b : in STD_LOGIC_VECTOR(3 downto 0); p : out STD_LOGIC_VECTOR(7 downto 0) ); end component; mpm: multiplier port map ( clk => clk, a => switches(3 downto 0), b => switches(7 downto 4), p => ); Cztery najmłodsze wyjścia mnożarki podłącz do wyświetlacza, pozostałe zaś zostaw otwarte. Następnie przetestuj działanie tego układu. Czy wyniki mnożenia są poprawne? 3.2 Zadanie 2. Na ocenę 4.0 (db) Zmodyfikuj program z zadania 1 tak, aby wyliczał kwadrat liczby zadanej za pomocą wszystkich ośmiu przełączników. Wynik należy wyświetlać na wszystkich czterech częściach wyświetlacza. 3.3 Zadanie 3. Na ocenę 5.0 (bdb) Korzystając z modułu dzielącego (patrz Rysunek 3) zmodyfikuj program z zadania 1 tak, aby na wyświetlaczu siedmiosegmentowym wyświetlana była liczba w postaci dziesiętnej. Z uwagi na to, że wejście do modułów dzielących zmienia się rzadko, można zignorować wyjście RFD (Ready For Data). Musimy mieć cztery instancje modułu i za każdym razem dzielić przez 10 otrzymując kolejne cyfry.

01. Systemy Czasu Rzeczywistego FPGA 6 Rysunek 3: Wykorzystanie modułu dzielącego do realizacji licznika dziesiętnego