Programowanie Układów Logicznych kod kursu: ETD6203. Komunikacja z układami cyfrowymi W dr inż. Daniel Kopiec

Wielkość: px
Rozpocząć pokaz od strony:

Download "Programowanie Układów Logicznych kod kursu: ETD6203. Komunikacja z układami cyfrowymi W dr inż. Daniel Kopiec"

Transkrypt

1 Programowanie Układów Logicznych kod kursu: ETD6203 Komunikacja z układami cyfrowymi W dr inż. Daniel Kopiec

2 Plan wykładu Standard komunikacji RS232 Enkoder obrotowy Wyświetlacz 7-segmentowy Przetworniki ADC, DAC wiadomości ogólne DAC LTC2624 ADC LTC1407A-1 2

3 Peryferia port szeregowy RS232 Pin Sygnał Nazwa Kierunek 1 DCD Data Carrier Detect In 2 RXD Receive Data In 3 TXD Transmit Data Out 4 DTR Data Terminal Ready Out 5 GND Ground - 6 DSR Data Set Ready In 7 RTS Request to Send Out 8 CTS Clear to Send In 9 RI Ring Indicator In 3 LPC2368: UART0/2/3 UART1 możliwość budowy modemu

4 RS232 ramka danych UART Universal Asynchronous Receiver Transmitter 3,3 V P +8 V stan IDLE bit startu 0 8 bitów danych bit parzystości -8 V 4 bity stopu: 1, 2 lub 1.5

5 Peryferia port szeregowy RS232 Transmisja synchroniczna Transmisja asynchroniczna 5

6 Peryferia port szeregowy RS232 - szybkość transmisji Szybkość transmisji (Baud Rate) podawana zazwyczaj jako: np.9600 bodów czyli 9600 bitów na sekundę czas transmisji 1-bitu -> 1/9600 -> 104,16 µs P STOP 12 x 104,16 µs = 1,25 ms Inne typowe szybkości transmisji danych: 110, 150, 300, 1200, 2400, 4800, 9600, 19200, 38400, 57600, , , ,

7 Dlaczego stan X..? entity LED_blink is port ( CLK_50MHz : in std_logic; LED : out std_logic; nreset : in std_logic); end LED_blink; architecture Behavioral of LED_blink is signal counter: std_logic_vector (2 downto 0); signal CLK_1Hz: std_logic := '0'; begin prescaler: process (nreset, CLK_50MHz) begin if (nreset = '0') then counter <=(others => '0'); LED <= '0 ; elsif rising_edge(clk_50mhz) then if counter < "111" then counter <= counter + 1; else CLK_1Hz <= not CLK_1Hz; counter <=(others => '0'); end if; end if; end process prescaler; LED <= CLK_1Hz; end Behavioral; stim_proc: process begin nreset <='1'; LED <= '1'; wait for 100 ns; assert false severity failure; end process; Ten sygnał otrzymujemy jako rezultat działania kodu z pliku *.vhd

8 Enkoder obrotowy Sygnały wyjściowe z enkodera obrotowego

9 Enkoder obrotowy zasada działania sygnał kwadraturowy pomiędzy wyjściami A, B, alternatywa dla klasycznych potencjometrów, klawiatur itp. sygnał na wyjściach A oraz B a dokładniej faza i częstotliwość sygnału niosą informację o: kierunku obrotu osi enkodera oraz szybkości jego obracania 9

10 Enkoder obrotowy drgania styków Oscylogram przedstawiający drgania styków mechanicznych 1

11 Usuwanie drgań mechanicznych Jednym z możliwych sposobów usuwanie drgań styków jest zapamiętanie stanu wejścia w kilku kolejnych cyklach zegara 11 Wyjście out zmienia się tylko wtedy gdy wejście ma tą samą wartość przez N kolejnych zboczy narastających zegara, Okres zegara musi być jednak dłuższy od czasu drgania styków mechanicznych, zegar 50 MHz (T=20 ns), drgania mechaniczne ~1ms!!!

12 Enkoder obrotowy pełen okres 12 źródło: EP 11/2002

13 Enkoder obrotowy ¼ okresu 00/1 AB/K S00 01/0 10/1 00/0 01/1 S01 11/0 S11 S10 10/0 11/1 AB/K gdzie: AB wyjścia enkodera K kierunek działania Stan początkowy automatu jest taki jak bieżące położenie pokrętła 13

14 Wyświetlacz 7-segmentowy wspólny minus stan aktywny: 1 wspólny plus stan aktywny: 0 14

15 15 Wyświetlacz 7-seg. multipleksowany

16 16 Wyświetlacz 7-seg. multipleksowany - układ z PUL

17 Sterowanie katod poszczególnych segmentów Wyświetlacz 7-seg. multipleksowany Cykl działania wyświetlacza 1 ms 50 ms ¼ cyklu ¼ cyklu ¼ cyklu ¼ cyklu CYFRA 1 CYFRA 2 CYFRA 3 CYFRA 4 17 Sterowanie anod 7 linii odpowiedzialnych za wyświetlenie cyfr

18 18 Wyświetlacz 7-seg. multipleksowany

19 Wyświetlacz 7-seg. multipleksowany btn(3) btn(2) btn(1) btn(0) s(1) s(0) X X s(1) = btn(2) or btn(3); s(0) = btn(1) or btn(3); 19

20 Przetworniki AC, CA Rozróżniamy 2 typy przetworników: analogowo-cyfrowe AC (ADC), cyfrowo-analogowe CA (DAC). 20

21 21 Kwantowanie, próbkowanie

22 22 Przetwornik AC typ wejścia

23 Kwantowanie, próbkowanie Twierdzenie o próbkowaniu: częstotliwość próbkowania (f s ) powinna być dwukrotnie większa niż pasmo przenoszonych częstotliwości (f). Przykład: Sygnał akustyczny o częstotliwości do 20 khz, zgodnie z twierdzeniem Shannona- Kotielnikowa powinien być próbkowany z częstotliwością co najmniej 40 khz. W praktyce częstotliwość próbkowania jest równa 44 khz. Jeśli w sygnale pojawi się częstotliwość 46 khz to po próbkowaniu z fs=44 khz w widmie znajdziemy prążek 2 khz (tzw. alias)-czyli dźwięk słyszalny. W takiej sytuacji konieczne jest zastosowanie tzw. filtrów antyaliasingowych (dolnoprzepustowych). 23

24 Rozdzielczość w bitach Dobór przetwornika Liczba bitów N Liczba poziomów kwantyzacji Kwant dla napięcie 5V ,5 mv ,8 mv ,2 mv ,3 µv Szybkość próbkowania Parametry wejściowe: - rozdzielczość, - rodzaj kodu - poziomy logiczne, - format danych 24 A Glossary of Analog-to-Digital Specifications and Performance Characteristics

25 Rozdzielczość w bitach Dobór przetwornika Szybkość próbkowania 25

26 26 Zastosowania

27 Spartan 3E Starter Board DAC LTC2624 MOSI (T4) MISO (N10) SCK (U16) CS (N8) CLR (P8) ADC LTC1407A-1 SCK (U16) MISO (N10) CONV (P11) 27 Dual Programmable Gain Amplifiers with Serial Digital Interface LTC6912 MOSI (T4) CS (N7) SCK (U16) SHDN (P7) DOUT (E18)

28 28 DAC LTC2624 Quad DAC

29 DAC LTC2624 Quad DAC 29

30 DAC LTC2624 Quad DAC 30

31 31 DAC LTC2624 Quad DAC

32 DAC LTC2624 Quad DAC LTC2624: - ilość bitów N=12, tak więc 2 12 = załóżmy REFx = 3,3V, REFLO = 0V (GND) U OUT = k 2N REFx k = U OUT REFx 2N 32

33 DAC LTC2624 ramka danych k = U OUT REFx 2N 33

34 34

35 Sygnały pomocnicze w architekturze: Złożenie ramki danych 35

36 36 DAC LTC2624 Quad DAC - na pokładzie Spartan 3E Starter Board

37 DAC LTC2624 Quad DAC - maszyna stanów rst= 1 IDLE CHECK index < 32 READY SEND 37

38 38 ADC LTC1407A-1

39 39 ADC LTC1407A-1

40 40 ADC LTC1407A-1

41 ADC LTC1407A-1 Wzmacniacz wejściowy LTC6912-1, kondycjonowanie sygnału Przetwornik ADC LTC 1407A-1 41

42 ADC LTC1407A-1 ilość bitów N=14, tak więc 2 14 = poziomów kwantowania, zakres przetwarzanych napięć ± 1,25 V (2,5 V), rozdzielczość przetwarzania: R = U IN 2 N 2, 5 V = = 153 μv Zakres przetwarzania w Spartan 3E Starter Board: k = GAIN U IN 1, 65V 1, 25V 8192 wzmocnienie układu LTC

43 43 ADC LTC1407A-1

44 Przykładowe pytania 1. Zasada działania enkodera obrotowego 2. Metody eliminacji drgań styków 3. Na czym polega multipleksowanie wyświetlacze segmentowego 4. Przetworniki AC, CA szybkość, rozdzielczość 5. Przykłady zastosowań przetworników AC, CA 6. Interfejs SPI przykład maszyny stanów 7. W jaki sposób określane jest słowo cyfrowe przetworników AC, CA 44

mgr inż. Maciej Rudek opracował: dr inż. Daniel Kopiec

mgr inż. Maciej Rudek opracował: dr inż. Daniel Kopiec Programowanie Układów Logicznych kod kursu: ETD623 Pamięć w układach programowalnych W6 4.4.28 mgr inż. Maciej Rudek opracował: dr inż. Daniel Kopiec Plan wykładu Powtórka wiadomości Pamięć w układach

Bardziej szczegółowo

Programowalne układy logiczne kod kursu: ETD Układy sekwencyjne W

Programowalne układy logiczne kod kursu: ETD Układy sekwencyjne W Programowalne układy logiczne kod kursu: ETD008270 Układy sekwencyjne W6 10.05.2019 mgr inż. Maciej Rudek Układy kombinacyjne - przypomnienie Układ kombinacyjny jest to układ dla którego zmiana na wejściu

Bardziej szczegółowo

. Rodzaje transmisji sygnału i RS-232

. Rodzaje transmisji sygnału i RS-232 . Rodzaje transmisji sygnału i RS-232 1. Transmisja szeregowa i równoległa Transmisja sygnału może przebiegać w różnoraki sposób. Najbardziej podstawowym z podziałów, jest podział transmisji sygnału na

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA

TECHNIKA MIKROPROCESOROWA LABORATORIUM TECHNIKA MIKROPROCESOROWA Port transmisji szeregowej USART ATmega Opracował: Tomasz Miłosławski 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się ze sposobami komunikacji mikrokontrolera

Bardziej szczegółowo

Systemy wbudowane Wykład 6 - transmisje szeregowe: UART i pochodne. Komunikacja szeregowa Notes. Rodzaje transmisji Notes. Rodzaje transmisji Notes

Systemy wbudowane Wykład 6 - transmisje szeregowe: UART i pochodne. Komunikacja szeregowa Notes. Rodzaje transmisji Notes. Rodzaje transmisji Notes Systemy wbudowane Wykład 6 - transmisje szeregowe: UART i pochodne Przemek Błaśkiewicz 22 kwietnia 2018 1 / 57 Komunikacja szeregowa http://websdr.org 2 / 57 Rodzaje transmisji simplex/sympleks Komunikacja

Bardziej szczegółowo

Laboratorium Asemblerów, WZEW, AGH WFiIS Tester NMOS ów

Laboratorium Asemblerów, WZEW, AGH WFiIS Tester NMOS ów Pomiar charakterystyk prądowonapięciowych tranzystora NMOS Napisz program w asemblerze kontrolera picoblaze wykorzystujący możliwości płyty testowej ze Spartanem 3AN do zbudowania prostego układu pomiarowego

Bardziej szczegółowo

IC200UDR002 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO

IC200UDR002 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO IC200UDR002 8 wejść dyskretnych 24 VDC, logika dodatnia/ujemna. Licznik impulsów wysokiej częstotliwości. 6 wyjść przekaźnikowych 2.0 A. Port: RS232. Zasilanie: 24 VDC. Sterownik VersaMax Micro UDR002

Bardziej szczegółowo

HC541 8-bitowy bufor jednokierunkowy HC245 8-bitowy bufor dwukierunkowy HC244 dwa 4-bitowe bufory jednokierunkowe

HC541 8-bitowy bufor jednokierunkowy HC245 8-bitowy bufor dwukierunkowy HC244 dwa 4-bitowe bufory jednokierunkowe Bufory (BUFFER) Bufory stosuje się po to by: - zwiększyć obciążalność magistrali - chronić układ wysokiej skali integracji - sterować przepływem danych HC541 8-bitowy bufor jednokierunkowy HC245 8-bitowy

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA

TECHNIKA MIKROPROCESOROWA LABORATORIUM TECHNIKA MIKROPROCESOROWA Port transmisji szeregowej USART MCS'51 Opracował: Tomasz Miłosławski 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się ze sposobami komunikacji mikrokontrolera

Bardziej szczegółowo

Techniki mikroprocesorowe i systemy wbudowane

Techniki mikroprocesorowe i systemy wbudowane Intel 8051 układy Techniki mikroprocesorowe i systemy wbudowane Wykład 6 Układy oparte na procesorach rodziny Intel 51 Wojciech Kordecki wojciech.kordecki@pwsz-legnica.eu Państwowa Wyższa Szkoła Zawodowa

Bardziej szczegółowo

System interfejsu RS 232C opracowali P. Targowski i M. Rębarz

System interfejsu RS 232C opracowali P. Targowski i M. Rębarz System interfejsu RS 232C opracowali P. Targowski i M. Rębarz Standard RS 232C (Recommended Standard) został ustanowiony w 1969 r. przez Electronic Industries Association. Definiuje on sposób nawiązania

Bardziej szczegółowo

Projektowanie automatów z użyciem VHDL

Projektowanie automatów z użyciem VHDL Projektowanie automatów z użyciem VHDL struktura automatu i jego modelu w VHDL przerzutnik T jako automat przykłady automatów z wyjściami typu: Moore'a Mealy stanu kodowanie stanów automatu Wykorzystano

Bardziej szczegółowo

Uniwersalny asynchroniczny. UART Universal Asynchronous Receier- Transmiter

Uniwersalny asynchroniczny. UART Universal Asynchronous Receier- Transmiter UART Universal Asynchronous Receier- Transmiter Cel projektu: Zbudowanie układu transmisji znaków z komputera na wyświetlacz zamontowany na płycie Spartan-3AN, poprzez łacze RS i program TeraTerm. Laboratorium

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203. Szczegóły realizacji projektu indywidualnego W dr inż.

Programowanie Układów Logicznych kod kursu: ETD6203. Szczegóły realizacji projektu indywidualnego W dr inż. Programowanie Układów Logicznych kod kursu: ETD6203 Szczegóły realizacji projektu indywidualnego W1 24.02.2016 dr inż. Daniel Kopiec Projekt indywidualny TERMIN 1: Zajęcia wstępne, wprowadzenie TERMIN

Bardziej szczegółowo

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie:

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie: Zaliczenie Termin zaliczenia: 14.06.2007 Sala IE 415 Termin poprawkowy: >18.06.2007 (informacja na stronie: http://neo.dmcs.p.lodz.pl/tm/index.html) 1 Współpraca procesora z urządzeniami peryferyjnymi

Bardziej szczegółowo

Przetwornik analogowo-cyfrowy

Przetwornik analogowo-cyfrowy Przetwornik analogowo-cyfrowy Przetwornik analogowo-cyfrowy A/C (ang. A/D analog to digital; lub angielski akronim ADC - od słów: Analog to Digital Converter), to układ służący do zamiany sygnału analogowego

Bardziej szczegółowo

Specyfika projektowania Mariusz Rawski

Specyfika projektowania Mariusz Rawski CAD Specyfika projektowania Mariusz Rawski rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ System cyfrowy pierwsze skojarzenie Urządzenia wprowadzania danych: klawiatury czytniki urządzenia przetwarzania

Bardziej szczegółowo

DOKUMENTACJA PROJEKTU

DOKUMENTACJA PROJEKTU AKADEMIA GÓRNICZO-HUTNICZA w Krakowie KATEDRA ELEKTRONIKI DOKUMENTACJA PROJEKTU Projekt z przedmiotu Sprzętowa Implementacja Algorytmów: Dekoder klawiatury na PS/2 Prowadzący: Dr inż. Paweł Russek Wykonali:

Bardziej szczegółowo

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje.

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. 1. W języku VHDL zdefiniowano mechanizm odczytywania i zapisywania danych z i do plików. Pliki te mogą być wykorzystywane

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 04 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

IC200UDD110 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO

IC200UDD110 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO IC200UDD110 16 wejść dyskretnych 24 VDC, logika dodatnia/ujemna. Licznik impulsów wysokiej częstotliwości. 12 wyjść tranzystorowych 24 VDC. Porty: RS232, RS485. Zasilanie: 24 VDC. Sterownik VersaMax Micro

Bardziej szczegółowo

(przykład uogólniony)

(przykład uogólniony) Serial Peripheral Interface (przykład uogólniony) Brak standardu. Inne stosowane nazwy: Synchronous Serial Port (SSP), 4 wire SSI (Synchronous Serial Interface, Texas Instrument), Microwire (National Semiconductor).

Bardziej szczegółowo

Zastosowania mikrokontrolerów w przemyśle

Zastosowania mikrokontrolerów w przemyśle Zastosowania mikrokontrolerów w przemyśle Cezary MAJ Katedra Mikroelektroniki i Technik Informatycznych Współpraca z pamięciami zewnętrznymi Interfejs równoległy (szyna adresowa i danych) Multipleksowanie

Bardziej szczegółowo

Technika cyfrowa projekt: Sumator 4 bitowy równoległy

Technika cyfrowa projekt: Sumator 4 bitowy równoległy Technika cyfrowa projekt: Sumator 4 bitowy równoległy Autorzy: Paweł Bara Robert Boczek Przebieg prac projektowych: Zadany układ dostaje na wejściu dwie czterobitowe liczby naturalne, sumuje je, po czym

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Przerzutniki Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 20 maja 2013 Przerzutnik synchroniczny Układ synchroniczny wyzwalany ustalonym

Bardziej szczegółowo

PUNKTOWE STEROWNIKI VERSAMAX MICRO

PUNKTOWE STEROWNIKI VERSAMAX MICRO 1.7 64-PUNKTOWE STEROWNIKI VERSAMAX MICRO IC200UDD064 40 wejść dyskretnych 24 VDC, 24 wyjścia tranzystorowe 24 VDC (zabezpieczenie przed zwarciem i przeciąŝeniem), wbudowany port RS232, drugi port dostępny

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH SPRAWOZDANIE Temat: Projekt notesu elektronicznego w języku VHDL przy użyciu układów firmy

Bardziej szczegółowo

IC200UDR005 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO

IC200UDR005 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO IC200UDR005 16 wejść dyskretnych 24 VDC, logika dodatnia/ujemna. Licznik impulsów wysokiej częstotliwości. 1 wyjście dyskretne 24 VDC. 11 wyjść przekaźnikowych 2.0 A. Porty: RS232, RS485. Zasilanie: 100

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 03 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Układy kombinacyjne Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 28 września 2015 Co to jest układ kombinacyjny? Stan wyjść zależy tylko

Bardziej szczegółowo

Systemy pomiarowe z interfejsem RS-232. KSP w2

Systemy pomiarowe z interfejsem RS-232. KSP w2 Systemy pomiarowe z interfejsem RS-232 KSP w2 Magistrale i złącza w PC (rys.) Pamięć CACHE Procesor Pamięć RAM Szyna FSB Chipset 1 Złącza PCI Złącza USB Magistrala USB Chipset 2 Magistrala PCI Złącza ISA

Bardziej szczegółowo

LABORATORIUM TELEINFORMATYKI W GÓRNICTWIE

LABORATORIUM TELEINFORMATYKI W GÓRNICTWIE KATEDRA ELEKTRYFIKACJI I AUTOMATYZACJI GÓRNICTWA LABORATORIUM TELEINFORMATYKI W GÓRNICTWIE Standardy szeregowej asynchronicznej transmisji danych RS232, RS485, modemy telefoniczne (INSTRUKCJA LABORATORYJNA)

Bardziej szczegółowo

Technika audio część 1

Technika audio część 1 Technika audio część 1 Wykład 9 Technologie na urządzenia mobilne Łukasz Kirchner Lukasz.kirchner@cs.put.poznan.pl http://www.cs.put.poznan.pl/lkirchner Wprowadzenie technologii audio Próbkowanie Twierdzenie

Bardziej szczegółowo

MODEMY ASTRAADA GSM ASTOR KATALOG SYSTEMÓW KOMUNIKACJI ASTRAADA GSM. AS30GSM101C Astraada GSM; Modem GSM/GPRS RB900 z RS232; Obsługa SMS

MODEMY ASTRAADA GSM ASTOR KATALOG SYSTEMÓW KOMUNIKACJI ASTRAADA GSM. AS30GSM101C Astraada GSM; Modem GSM/GPRS RB900 z RS232; Obsługa SMS ASTOR KATALOG SYSTEMÓW KOMUNIKACJI ASTRAADA GSM MODEMY ASTRAADA GSM AS30GSM101C Astraada GSM; Modem GSM/GPRS RB900 z RS232; 850/900/1800/1900 MHz; Programowanie w języku C lub Python oraz komendami AT,

Bardziej szczegółowo

Aplikacja dla eksperymentu identyfikacyjnego z wykorzystaniem układu PAIO. Wykonał : Marcin Cichorowski Prowadzenie : dr inż.

Aplikacja dla eksperymentu identyfikacyjnego z wykorzystaniem układu PAIO. Wykonał : Marcin Cichorowski Prowadzenie : dr inż. Aplikacja dla eksperymentu identyfikacyjnego z wykorzystaniem układu PAIO Wykonał : Marcin Cichorowski Prowadzenie : dr inż. Jerzy Kasprzyk Cel pracy Celem pracy było stworzenie możliwości współpracy aplikacji

Bardziej szczegółowo

Układy reprogramowalne i SoC Język VHDL (część 4)

Układy reprogramowalne i SoC Język VHDL (część 4) Język VHDL (część 4) Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez ograniczeń - zintegrowany rozwój Politechniki

Bardziej szczegółowo

Urządzenia peryferyjne procesora ColdFire

Urządzenia peryferyjne procesora ColdFire Urządzenia peryferyjne procesora ColdFire 1 Moduł generatora sygnału zegarowego (Clock Module) 2 Generator z pętlą PLL (1) Pętla synchronizacji fazy, pętla sprzężenia fazowego, PLL (ang. Phase Locked Loop)

Bardziej szczegółowo

Badanie właściwości wysokorozdzielczych przetworników analogowo-cyfrowych w systemie programowalnym FPGA. Autor: Daniel Słowik

Badanie właściwości wysokorozdzielczych przetworników analogowo-cyfrowych w systemie programowalnym FPGA. Autor: Daniel Słowik Badanie właściwości wysokorozdzielczych przetworników analogowo-cyfrowych w systemie programowalnym FPGA Autor: Daniel Słowik Promotor: Dr inż. Daniel Kopiec Wrocław 016 Plan prezentacji Założenia i cel

Bardziej szczegółowo

OPBOX ver USB 2.0 Miniaturowy Ultradźwiękowy system akwizycji danych ze

OPBOX ver USB 2.0 Miniaturowy Ultradźwiękowy system akwizycji danych ze OPBOX ver 2.0 - USB 2.0 Miniaturowy Ultradźwiękowy system akwizycji danych ze OPBOX ver 2.0 - USB 2.0 Miniaturowy Ultradźwiękowy system akwizycji danych Charakterystyka OPBOX 2.0 wraz z dostarczanym oprogramowaniem

Bardziej szczegółowo

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur Piotr Fita Elektronika cyfrowa i analogowa Układy analogowe - przetwarzanie sygnałów, których wartości zmieniają się w sposób ciągły w pewnym zakresie

Bardziej szczegółowo

Instrukcje do laboratorium ASK (1)

Instrukcje do laboratorium ASK (1) Instrukcje do laboratorium ASK (1) Ćwiczenie 1. Połączenie dwóch komputerów przy pomocy złącza szeregowego RS232. 1.1 Przygotowanie kabla do łączenia komputerów według schematu: 3 (2) TxD RxD (3) 2 2 (3)

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Worek różności jak dobrać się do gotowców w Spartanach? Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 12 kwietnia 2011 Spis treści Wbudowane

Bardziej szczegółowo

Modelowanie złożonych układów cyfrowych (1)

Modelowanie złożonych układów cyfrowych (1) Modelowanie złożonych układów cyfrowych () funkcje i procedury przykłady (przerzutniki, rejestry) style programowania kombinacyjne bloki funkcjonalne bufory trójstanowe multipleksery kodery priorytetowe

Bardziej szczegółowo

Architektura Systemów Komputerowych. Transmisja szeregowa danych Standardy magistral szeregowych

Architektura Systemów Komputerowych. Transmisja szeregowa danych Standardy magistral szeregowych Architektura Systemów Komputerowych Transmisja szeregowa danych Standardy magistral szeregowych 1 Transmisja szeregowa Idea transmisji szeregowej synchronicznej DOUT Rejestr przesuwny DIN CLK DIN Rejestr

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Programowanie mikrokontrolerów. 15 stycznia 2008

Programowanie mikrokontrolerów. 15 stycznia 2008 Programowanie mikrokontrolerów Marcin Engel Marcin Peczarski 15 stycznia 2008 RS232 Jeden z najstarszych interfejsów szeregowych Pierwotne przeznaczenie to łączenie terminali znakowych z komputerem, często

Bardziej szczegółowo

Enkoder magnetyczny AS5040.

Enkoder magnetyczny AS5040. Enkoder magnetyczny AS5040. Edgar Ostrowski Jan Kędzierski www.konar.ict.pwr.wroc.pl Wrocław, 28.01.2007 1 Spis treści 1 Wstęp... 3 2 Opis wyjść... 4 3 Tryby pracy... 4 3.1 Tryb wyjść kwadraturowych...

Bardziej szczegółowo

Mikroprocesory i mikrosterowniki Wydział Elektroniki Mikrosystemów i Fotoniki Politechniki Wrocławskiej Ćwiczenie nr 4

Mikroprocesory i mikrosterowniki Wydział Elektroniki Mikrosystemów i Fotoniki Politechniki Wrocławskiej Ćwiczenie nr 4 1 Ćwiczenie nr 4 Program ćwiczenia: Interfejs szeregowy SPI obsługa sterownika ośmiopozycyjnego, 7-segmentowego wyświetlacza LED Interfejs szeregowy USART, komunikacja mikrokontrolera z komputerem PC.

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203. VHDL, ISE WebPACK, Plan Ahead, Impact W

Programowanie Układów Logicznych kod kursu: ETD6203. VHDL, ISE WebPACK, Plan Ahead, Impact W Programowanie Układów Logicznych kod kursu: ETD6203 VHDL, ISE WebPACK, Plan Ahead, Impact W2 28.02.2018 mgr inż. Maciej Rudek opracował: dr inż. Daniel Kopiec Plan wykładu 1 2 3 4 5 6 VHDL powtórka ważniejszych

Bardziej szczegółowo

Współpraca procesora z urządzeniami peryferyjnymi

Współpraca procesora z urządzeniami peryferyjnymi Współpraca procesora z urządzeniami peryferyjnymi 1 Moduł transceivera szeregowego UART (Universal Asynchronous Receiver/Transmitter module) 2 Interfejs szeregowy EIA RS232 3 Transceiver UART Rejestr przesuwny

Bardziej szczegółowo

Zestaw przedłużacza, 4K HDMI HDBaseT, 70 m

Zestaw przedłużacza, 4K HDMI HDBaseT, 70 m Zestaw przedłużacza, 4K HDMI HDBaseT, 70 m Instrukcja obsługi DS-55503 Przed instalacją i obsługą urządzenia należy dokładnie zapoznać się z poniższymi zaleceniami dotyczącymi bezpieczeństwa: 1. Należy

Bardziej szczegółowo

Zestaw przedłużacza, 4K HDMI HDBaseT, 100 m

Zestaw przedłużacza, 4K HDMI HDBaseT, 100 m Zestaw przedłużacza, 4K HDMI HDBaseT, 100 m Instrukcja obsługi DS-55504 Przed instalacją i obsługą urządzenia należy dokładnie zapoznać się z poniższymi zaleceniami dotyczącymi bezpieczeństwa: 1. Należy

Bardziej szczegółowo

Sterownik momentu obrotowego silnika prądu stałego

Sterownik momentu obrotowego silnika prądu stałego Politechnika Wrocławska Projekt Sterownik momentu obrotowego silnika prądu stałego Autorzy: Paweł Bogner Marcin Dmochowski Prowadzący: mgr inż. Jan Kędzierski 30.04.2012 r. 1 Opis ogólny Celem projektu

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 10 (3h) Implementacja interfejsu SPI w strukturze programowalnej Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

KOMPUTEROWE SYSTEMY POMIAROWE

KOMPUTEROWE SYSTEMY POMIAROWE KOMPUTEROWE SYSTEMY POMIAROWE Dr inż. Eligiusz PAWŁOWSKI Politechnika Lubelska Wydział Elektrotechniki i Informatyki Prezentacja do wykładu dla EMST - ITE Semestr zimowy Wykład nr 6 Prawo autorskie Niniejsze

Bardziej szczegółowo

Projektowanie hierarchiczne Mariusz Rawski

Projektowanie hierarchiczne Mariusz Rawski CAD Projektowanie hierarchiczne rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ Zamek elektroniczny: Elektroniczny zamek kod 4 cyfrowy kod wprowadzony z klawiatury ready sygnalizacja gotowości

Bardziej szczegółowo

INTERFEJSY SYSTEMÓW ELEKTRONICZNYCH. Interfejsy klasy RS

INTERFEJSY SYSTEMÓW ELEKTRONICZNYCH. Interfejsy klasy RS INTERFEJSY SYSTEMÓW ELEKTRONICZNYCH Interfejsy klasy RS Grzegorz Lentka/Marek Niedostatkiewicz Katedra Optoelektroniki i Systemów Elektronicznych ETI PG 2010 RS232 (1) RS232-1962, RS232C - 1969, Electronic

Bardziej szczegółowo

Komunikacja w mikrokontrolerach Laboratorium

Komunikacja w mikrokontrolerach Laboratorium Laboratorium Ćwiczenie 2 Magistrala UART Program ćwiczenia: konfiguracja transmisji danych między komputerem PC a mikrokontrolerem przy użyciu magistrali UART. Zagadnienia do przygotowania: podstawy programowania

Bardziej szczegółowo

Komputerowe systemy pomiarowe. Podstawowe elementy sprzętowe elektronicznych układów pomiarowych

Komputerowe systemy pomiarowe. Podstawowe elementy sprzętowe elektronicznych układów pomiarowych Komputerowe systemy pomiarowe Dr Zbigniew Kozioł - wykład Mgr Mariusz Woźny laboratorium Wykład III Podstawowe elementy sprzętowe elektronicznych układów pomiarowych 1 - Linearyzatory, wzmacniacze, wzmacniacze

Bardziej szczegółowo

PowerLab 4/35 z systemem LabChart Pro

PowerLab 4/35 z systemem LabChart Pro PowerLab 4/35 z systemem LabChart Pro ADInstrument. Systemy akwizycji danych i zestawy edukacyjne. Opis urządzenia PL3504/P PowerLab 4/35 to wysokowydajny system akwizycji danych odpowiedni do szerokiej

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika Mikroprocesorowa Układy peryferyjne, komunikacja z uŝytkownikiem Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego

Bardziej szczegółowo

Moduł uruchomieniowy mikrokontrolera MC68HC912B32

Moduł uruchomieniowy mikrokontrolera MC68HC912B32 Instytut Cybernetyki Technicznej Systemy Mikroprocesorowe Moduł uruchomieniowy mikrokontrolera MC68HC912B32 Grzegorz Cielniak Wrocław 1999 1. Informacje ogólne Moduł uruchomieniowy jest tanim i prostym

Bardziej szczegółowo

Komunikacja w mikrokontrolerach Laboratorium

Komunikacja w mikrokontrolerach Laboratorium Laboratorium Ćwiczenie 4 Magistrala SPI Program ćwiczenia: konfiguracja transmisji danych między mikrokontrolerem a cyfrowym czujnikiem oraz sterownikiem wyświetlaczy 7-segmentowych przy użyciu magistrali

Bardziej szczegółowo

MIKROPROCESORY architektura i programowanie

MIKROPROCESORY architektura i programowanie Struktura portów (CISC) Port to grupa (zwykle 8) linii wejścia/wyjścia mikrokontrolera o podobnych cechach i funkcjach Większość linii we/wy może pełnić dwie lub trzy rozmaite funkcje. Struktura portu

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203 W dr inż. Daniel Kopiec. Pamięć w układach programowalnych

Programowanie Układów Logicznych kod kursu: ETD6203 W dr inż. Daniel Kopiec. Pamięć w układach programowalnych Programowanie Układów Logicznych kod kursu: ETD623 Pamięć w układach programowalnych W6 6.4.26 dr inż. Daniel Kopiec Plan wykładu Pamięć w układach programowalnych Zasada działania, podział pamięci Miara

Bardziej szczegółowo

Mikroprocesory i Mikrosterowniki Laboratorium

Mikroprocesory i Mikrosterowniki Laboratorium Laboratorium Ćwiczenie 4 Magistrala SPI Program ćwiczenia: konfiguracja transmisji danych między mikrokontrolerem a cyfrowym czujnikiem oraz sterownikiem wyświetlaczy 7-segmentowych przy użyciu magistrali

Bardziej szczegółowo

Transmisja danych cyfrowych

Transmisja danych cyfrowych ransmisja danych cyfrowych Mariusz Rawski rawski@tele.pw.edu.pl www.zpt.tele.pw.edu.pl/~rawski/ Mariusz Rawski 1 łytka laboratoryjna U1 Education Board Mariusz Rawski 2 Standard RS 232 Standard RS-232

Bardziej szczegółowo

Komunikacja szeregowa UART:

Komunikacja szeregowa UART: Kmunikacja szeregwa UART: Serial Buses UART Universal Asynchrnus Receiver Transmitter: Standard rzwinięty już w latach 60, Prsty, uniwersalny, dbrze udkumentwany, Wlna kmunikacja: max. 1Mbit/s, P jednym

Bardziej szczegółowo

Przetworniki analogowo-cyfrowe (A/C)

Przetworniki analogowo-cyfrowe (A/C) Przetworniki analogowo-cyfrowe (A/C) Przetworniki analogowo-cyfrowe to urządzenia, przetwarzające ciągły analogowy sygnał wejściowy jedno wejście na odpowiadający mu dyskretny cyfrowy sygnał wyjściowy

Bardziej szczegółowo

Opis czytnika TRD-FLAT CLASSIC ver. 1.1. Naścienny czytnik transponderów UNIQUE w płaskiej obudowie

Opis czytnika TRD-FLAT CLASSIC ver. 1.1. Naścienny czytnik transponderów UNIQUE w płaskiej obudowie TRD-FLAT CLASSIC Naścienny czytnik transponderów UNIQUE w płaskiej obudowie Podstawowe cechy : zasilanie od 3V do 6V 4 formaty danych wyjściowych POWER LED w kolorze żółtym czerwono-zielony READY LED sterowany

Bardziej szczegółowo

Przetworniki pomiarowe obrotu Enkoder absolutny wieloobrotowy SENDIX 5863 SSI/BiSS

Przetworniki pomiarowe obrotu Enkoder absolutny wieloobrotowy SENDIX 5863 SSI/BiSS Safety-Lock TM Wysokoobrotowe Temp. pracy Wysokie IP Odporny na uderzenia Odporny na wibracje Odporny na pole magn. Zabezp. zwarciowe Zabezp. polaryzacji SIN/COS Przekładnia mechaniczna Niezawodny: - zwiększona

Bardziej szczegółowo

Przetworniki pomiarowe obrotu Enkoder absolutny wieloobrotowy S ENDIX 5883 S SI/BiS S

Przetworniki pomiarowe obrotu Enkoder absolutny wieloobrotowy S ENDIX 5883 S SI/BiS S Safety- Lock TM Wysokoobrotowe Temp. pracy Wysokie IP uderzenia wibracje pole magn. Zabezp. zwarciowe Zabezp. polaryzacji SIN/CO S Przekładnia mechaniczna Niezawodny: - zwiększona odporność na wibracje

Bardziej szczegółowo

Współpraca procesora z urządzeniami peryferyjnymi

Współpraca procesora z urządzeniami peryferyjnymi Współpraca procesora z urządzeniami peryferyjnymi 1 Współpraca procesora z urządzeniami peryferyjnymi Interfejsy dostępne w procesorach rodziny ColdFire: Interfejs równoległy, Interfejsy szeregowe: Interfejs

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo

Parametryzacja przetworników analogowocyfrowych

Parametryzacja przetworników analogowocyfrowych Parametryzacja przetworników analogowocyfrowych wersja: 05.2015 1. Cel ćwiczenia Celem ćwiczenia jest zaprezentowanie istoty działania przetworników analogowo-cyfrowych (ADC analog-to-digital converter),

Bardziej szczegółowo

Sygnał a informacja. Nośnikiem informacji mogą być: liczby, słowa, dźwięki, obrazy, zapachy, prąd itp. czyli różnorakie sygnały.

Sygnał a informacja. Nośnikiem informacji mogą być: liczby, słowa, dźwięki, obrazy, zapachy, prąd itp. czyli różnorakie sygnały. Sygnał a informacja Informacją nazywamy obiekt abstarkcyjny, który może być przechowywany, przesyłany, przetwarzany i wykorzystywany y y y w określonum celu. Zatem informacja to każdy czynnik zmnejszający

Bardziej szczegółowo

dokument DOK 02-05-12 wersja 1.0 www.arskam.com

dokument DOK 02-05-12 wersja 1.0 www.arskam.com ARS3-RA v.1.0 mikro kod sterownika 8 Linii I/O ze zdalną transmisją kanałem radiowym lub poprzez port UART. Kod przeznaczony dla sprzętu opartego o projekt referencyjny DOK 01-05-12. Opis programowania

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 7 (2h) Obsługa urządzenia peryferyjnego z użyciem pamięci w VHDL. Instrukcja do zajęć laboratoryjnych z przedmiotu

Bardziej szczegółowo

XC4000: LUT jako ROM Układy Cyfrowe i Systemy Wbudowane 2 Układy FPGA cz. 2 ROM32X1 VHDL inference example ROM 16x2b type

XC4000: LUT jako ROM Układy Cyfrowe i Systemy Wbudowane 2 Układy FPGA cz. 2 ROM32X1 VHDL inference example ROM 16x2b type Układy Cyfrowe i Systemy Wbudowane 2 XC4000: LUT jako ROM Układy FPGA cz. 2 dr inż. Jarosław Sugier Jaroslaw.Sugier@pwr.edu.pl W-4/K-9, pok. 227 C-3 FPGA(2) - 1 FPGA(2) - 2 ROM32X1 VHDL inference example

Bardziej szczegółowo

Instrukcja do konwertera USB-RS232

Instrukcja do konwertera USB-RS232 1. Przeznaczenie Instrukcja do konwertera USB-RS232 Komputery coraz częściej nie posiadają portów szeregowych, natomiast wyposażone są w porty USB. Konwerter USB-RS232 to urządzenie rozwiązujące problem

Bardziej szczegółowo

ARS3 RZC. z torem radiowym z układem CC1101, zegarem RTC, kartą Micro SD dostosowany do mikro kodu ARS3 Rxx. dokument DOK 01 05 12. wersja 1.

ARS3 RZC. z torem radiowym z układem CC1101, zegarem RTC, kartą Micro SD dostosowany do mikro kodu ARS3 Rxx. dokument DOK 01 05 12. wersja 1. ARS RZC projekt referencyjny płytki mikrokontrolera STMF z torem radiowym z układem CC0, zegarem RTC, kartą Micro SD dostosowany do mikro kodu ARS Rxx dokument DOK 0 0 wersja.0 arskam.com . Informacje

Bardziej szczegółowo

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Cyfrowe Elementy Automatyki Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów,

Bardziej szczegółowo

Technika Cyfrowa. Wprowadzenie do laboratorium komputerowego część II

Technika Cyfrowa. Wprowadzenie do laboratorium komputerowego część II Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IET Katedra Elektroniki Technika Cyfrowa Wprowadzenie do laboratorium komputerowego część II Wstęp W ramach zajęć przedstawione zostaną

Bardziej szczegółowo

Programowanie Mikrokontrolerów. Komunikacja szeregowa w standardzie EIA232 z wykorzystaniem modułu USART.

Programowanie Mikrokontrolerów. Komunikacja szeregowa w standardzie EIA232 z wykorzystaniem modułu USART. Programowanie Mikrokontrolerów Komunikacja szeregowa w standardzie EIA232 z wykorzystaniem modułu USART. mgr inż. Paweł Poryzała Zakład Elektroniki Medycznej Komunikacja szeregowa Jakie znamy typy komunikacji

Bardziej szczegółowo

Mikroprocesory i Mikrosterowniki Analog-Digital Converter Konwerter Analogowo-Cyfrowy

Mikroprocesory i Mikrosterowniki Analog-Digital Converter Konwerter Analogowo-Cyfrowy Mikroprocesory i Mikrosterowniki Analog-Digital Converter Konwerter Analogowo-Cyfrowy Wydział Elektroniki Mikrosystemów i Fotoniki dr inż. Piotr Markowski Na prawach rękopisu. Na podstawie dokumentacji

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

Zastosowanie procesorów AVR firmy ATMEL w cyfrowych pomiarach częstotliwości

Zastosowanie procesorów AVR firmy ATMEL w cyfrowych pomiarach częstotliwości Politechnika Lubelska Wydział Elektrotechniki i Informatyki PRACA DYPLOMOWA MAGISTERSKA Zastosowanie procesorów AVR firmy ATMEL w cyfrowych pomiarach częstotliwości Marcin Narel Promotor: dr inż. Eligiusz

Bardziej szczegółowo

Standard interfejsu RS 232C (V.24).

Standard interfejsu RS 232C (V.24). W i t o l d J u r e c z k o 44-100 Gliwice, ul. Jasnogórska 11 Regon: P-271215331-92700000 59-3-092-27177 NIP: 631-010-66-35 Internet: www.yuko.com.pl telefony wewnêtrzne, wybierane tonowo : tel./ fax

Bardziej szczegółowo

Interfejsy szeregowe TEO 2009/2010

Interfejsy szeregowe TEO 2009/2010 Interfejsy szeregowe TEO 2009/2010 Plan wykładów Wykład 1: - Wstęp. Interfejsy szeregowe SCI, SPI Wykład 2: - Interfejs I 2 C, OneWire, I 2 S, CAN Wykład 3: - Interfejs USB Wykład 4: - Interfejs FireWire,

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Płytka labortoryjna z modułem MC Jan Kędzierski Marek Wnuk

Płytka labortoryjna z modułem MC Jan Kędzierski Marek Wnuk Płytka labortoryjna z modułem MC68332 Jan Kędzierski Marek Wnuk Wrocław 2012 1 Wstęp Opisywana płytka(rys. 1) jest przeznaczona do ćwiczeń laboratoryjnych z wykorzystaniem 32- bitowego mikrokontrolera

Bardziej szczegółowo

LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD

LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD LABORATORIUM OPTOELEKTRONIKA I SENSORYKA Oprogramowanie bariery podczerwieni w układzie CPLD 1. Wstęp i cel ćwiczenia W ćwiczeniu student tworzy barierę podczerwieni złożoną z diody nadawczej IR (Infra

Bardziej szczegółowo

Przetworniki C/A. Ryszard J. Barczyński, 2016 Materiały dydaktyczne do użytku wewnętrznego

Przetworniki C/A. Ryszard J. Barczyński, 2016 Materiały dydaktyczne do użytku wewnętrznego Przetworniki C/A Ryszard J. Barczyński, 2016 Materiały dydaktyczne do użytku wewnętrznego Przetwarzanie C/A i A/C Większość rzeczywistych sygnałów to sygnały analogowe. By je przetwarzać w dzisiejszych

Bardziej szczegółowo

Pomiary i przyrządy cyfrowe

Pomiary i przyrządy cyfrowe Pomiary i przyrządy cyfrowe Przyrządy analogowe trochę historii Ustrój magnetoelektryczny z I z I N d S B r ~ Ω I r r zaciski pomiarowe U U = r I amperomierz woltomierz współczynnik poszerzenia zakresu

Bardziej szczegółowo

E-TRONIX Sterownik Uniwersalny SU 1.2

E-TRONIX Sterownik Uniwersalny SU 1.2 Obudowa. Obudowa umożliwia montaż sterownika na szynie DIN. Na panelu sterownika znajduje się wyświetlacz LCD 16x2, sygnalizacja LED stanu wejść cyfrowych (LED IN) i wyjść logicznych (LED OUT) oraz klawiatura

Bardziej szczegółowo

Elektroniczny sejf hotelowy

Elektroniczny sejf hotelowy Elektroniczny sejf hotelowy Laboratorium Języków Opisu Sprzętu AGH WFiIS 1 Konstrukcja Układ zbudowany jest z: Enkodera obrotowego, Wyświetlacza dwucyfrowego, Dwóch przycisków, Czujnika otwarcia drzwi

Bardziej szczegółowo

Przetworniki pomiarowe obrotu i przesunięcia liniowego

Przetworniki pomiarowe obrotu i przesunięcia liniowego Numer zamówieniowy: typ kołnierz i otwór pod wał względnie wał 14 = kołnierz synchro z otworem pod wał 12 mm 25 = kołnierz zaciskowy z wałem 12 mm 26 = kołnierz zaciskowy z wałem 12 mm i adapterem mocowanym

Bardziej szczegółowo

Przetworniki A/C i C/A w systemach mikroprocesorowych

Przetworniki A/C i C/A w systemach mikroprocesorowych Przetworniki A/C i C/A w systemach mikroprocesorowych 1 Przetwornik A/C i C/A Przetworniki analogowo-cyfrowe (A/C) i cyfrowoanalogowe (C/A) to układy elektroniczne umożliwiające przesyłanie informacji

Bardziej szczegółowo