TECHNIKA MIKROPROCESOROWA

Wielkość: px
Rozpocząć pokaz od strony:

Download "TECHNIKA MIKROPROCESOROWA"

Transkrypt

1 LABORATORIUM TECHNIKA MIKROPROCESOROWA Port transmisji szeregowej USART MCS'51 Opracował: Tomasz Miłosławski

2 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się ze sposobami komunikacji mikrokontrolera rodziny MCS-51 przez wbudowany port szeregowy USART z komputerem PC oraz innymi urządzeniam posiadającymi synchroniczny lub asynchroniczny port szeregowy oraz jego konfiguracją i oprogramowaniem. 2. Charakterystyka portu szeregowego USART MCS-51 Wbudowany port szeregowy umożliwia przesyłanie informacji szeregowej w jednym z czterech trybów: tryb 0 półdupleksowa szeregowa transmisja synchroniczna 8-bitowych znaków z prędkością f S = 1/12 F XTAL, tryb 1 dupleksowa szeregowa transmisja asynchroniczna 8-bitowych znaków z prędkością ustalaną programowo, tryb 2 dupleksowa szeregowa transmisja asynchroniczna 9-bitowych znaków z prędkością f S = 1/32 F XTAL lub 1/64 F XTAL, tryb 3 dupleksowa szeregowa transmisja asynchroniczna 9-bitowych znaków z prędkością ustalaną programowo. O konfiguracji portu szeregowego decydują bity rejestru SCON znajdującego się w obszarze SFR wewnętrznej pamięci danych mikrokomputera (adres 98H). SCON.7 SM0 ustawienie trybu pracy, SCON.6 SM1 ustawienie trybu pracy, SCON.5 SM2 znacznik maskowania odbioru znaku, SCON.4 REN uaktywnienie odbiornika, SCON.3 TB8 dziewiąty bit wysyłanego znaku w trybie 2 i 3, SCON.2 RB8 dziewiąty bit odebranego znaku w trybie 2 i 3, SCON.1 TI wskaźnik wysłania znaku, znacznik zgłoszenia przerwania, SCON.0 RI wskaźnik odebrania znaku, znacznik zgłoszenia przerwania. Ustawienie trybu pracy zależne jest od stanu bitów SM0 i SM1, co przedstawia Tabela1: Tabela 1 SM0 SM1 TRYB W celu wysłania znaku przez port szeregowy, po uprzednim określeniu trybu pracy i prędkości transmisji, należy zapisać go do rejestru wyjściowego, natomiast znaki odebrane znajdują się w rejestrze wejściowym. Oba te rejestry występują pod tą samą nazwą SBUF i adresem 99H w obszarze SFR wewnętrznej pamięci danych. 2

3 RxD dane wyj. D0 D1 D2 D3 D4 D5 D6 D7 TxD CLK Rys.1. Przebiegi czasowe portu szeregowego w trybie 0 nadawanie. µc 8051 RxD TxD X CLK SIPO Rys.2. Wykorzystanie portu szeregowego w trybie 0 - nadawanie. RxD dane wej. D0 D1 D2 D3 D4 D5 D6 D7 TxD CLK Rys. 3. Przebiegi czasowe portu szeregowego w trybie 0 odbiór. µc 8051 RxD TxD Y CLK PISO Rys. 4. Wykorzystanie portu szeregowego w trybie 0 odbiór. 3

4 START D0 D1 D2 D3 D4 D5 D6 D7 STOP Rys.5. Format danych w trybie 1. START D0 D1 D2 D3 D4 D5 D6 D7 D8 STOP Rys.6. Format danych w trybie 2 i 3. µc8051 RS232 Konwerter poziomów RS-232 TTL TxD RxD Rys. 7. Połączenie µc8051 z komputerem PC za pomocą interfejsu RS232C w trybie 1, 2 lub Określenie prędkości transmisji portu szeregowego USART MCS-51 w trybach 1 i 3 Do programowego określenia prędkości transmisji w trybie 1 i 3 wykorzystywany jest sygnał przepełnienia licznika T1 lub licznika T2 (np. w µc8052). W przypadku wykorzystania licznika T1 w trybie 2, częstotliwość przepełnienia licznika wynosi: stąd prędkość transmisji f T1 = F XTAL / (12 * (256-TH1)) f S = f T1 / 32 dla SMOD = 0, f S = f T1 / 16 dla SMOD = 1, SMOD bit sterujący w rejestrze PCON (adres 97H). 4

5 Wykorzystanie licznika T2 do określania prędkości transmisji wymaga w rejestrze T2CON ustawienia bitów sterujących RCLK (T2CON.5) dla odbiornika i TCLK (T2CON.4) dla nadajnika. Prędkość transmisji wynosi wówczas: f S = F XTAL / (2 * 16 * (65536 RLD)) gdzie RLD jest wartością początkową wpisaną do rejestrów RLDH i RLDL. 4. Ćwiczenia programowe Poniżej przedstawiono dwa przykładowe programy komunikacji modułu ABC-02 z komputerem PC za pomocą łącza pracującego w standardzie RS232 przez złącze COM1 lub COM2 z wykorzystaniem programu Hyper Terminal. Port szeregowy µc51 pracuje w trybie 1. Pierwszy z programów wysyła w pętli nieskończonej jeden znak alfanumeryczny do komputera PC. Działanie programu przedstawia następujący algorytm: START Konfiguracja portu szeregowego tryb 1 Wyzerowanie wskaźnika wysłania znaku Zapis znaku do rejestru SBUF Znak wysłany? N T Rys.8. Algorytm programu wysyłającego znak w pętli nieskończonej. 5

6 1; ;LISTING 4-1 2: ;Program wysyłania znaku. 8-bitowe znaki 'a' transmitowane są do komputera PC przez 3: ;port szeregowy COM1 lub COM2 i wyświetlane na monitorze pomocą programu Hyper 4: ;Terminal lub podobnego. 5: ;Do określenia prędkości transmisji wykorzystano licznik T1 pracujący w trybie 2. 6: ;Dla f S = 4800bit/s, przy F XTAL = MHz, TH1 = 0FAH 7: 8: ORG 0000H 9: 10: mov SCON,# b ;konfiguracja portu szeregowego 11: ;tryb 1, uaktywniony odbiornik 12: mov TMOD,# b ;tryb 2 licznika T1, wykorzystanie licznika T1 13: ;do określenia prędkości transmisji 4800bit/s 14: mov TH1,#0FAH ;wartość początkowa dla licznika T1 15: setb TR1 ;uruchomienie licznika T1 16: 17: PETLA: 18: clr TI ;wyzerowanie wskaźnika wysłania znaku 19: mov SBUF,#'a' ;zapis znaku do rejestru SBUF 20: jnb TI,$ ;oczekiwanie na wysłąnie znaku 21: 22: sjmp PETLA ;przejście do wysłania następnego znaku 23: 24: END 6

7 Drugi program odbiera znaki transmitowane z komputera PC do modułu ABC-02 poprzez wpisywanie ich na klawiaturze w programie Hyper Terminal. Odebrany znak wyświetlany jest w postaci binarnej za pomocą diod LED sterowanych przez port P2. Algorytm programu przedstawiono poniżej: START Konfiguracja portu szeregowego tryb 1 Wyzerowanie wskaźnika odebrania znaku Znak odebrany? N T Odczyt znaku z rejestru SBUF i zapis do P2 Rys.9. Algorytm programu odbierającego znak. ;LISTING 4-2 1: ;Program odbioru znaku. 8-bitowe znaki 'a' transmitowane są z komputera PC przez 2: ;port szeregowy COM1 lub COM2 za pomocą programu Typer Terminal lub podobnego. 3: ;Odebrane znaki będą wyświetlane w postaci binarnej za pomocą diod LED podłączonych 4: ;do portu P2 modułu ABC-02. 5: ;Do określenia prędkości transmisji wykorzystano licznik T1 pracujący w trybie 2. 6: ;Dla f S = 4800bit/s, przy F XTAL = MHz, TH1 = 0FAH 7: 8: org 0000H 9: 10: mov SCON,# b ;konfiguracja portu szeregowego 11: ;tryb 1, uaktywniony odbiornik 12: mov TMOD,# b ;tryb 2 licznika T1, wykorzystanie licznika T1 7

8 13: ;do określenia prędkości transmisji 4800bit/s 14: mov TH1,#0FAH ;wartość początkowa dla licznika T1 15: setb TR1 ;uruchomienie licznika T1 16: 17: PETLA: 18: clr RI ;wyzerowanie wskaźnika odebrania znaku 19: jnb RI,$ ;oczekiwanie na odebranie znaku 20: mov P2,SBUF ;odczyt znaku i zapis do portu P2 21: sjmp PETLA ;przejście do oczekiwania na następny znak 22: 23: end ZADANIE 1 Napisać program odbierający pojedynczy znak z terminala komputera PC i odsyłający ten sam znak z powrotem do terminala, tzw. echo. Prędkość transmisji 2400bit/s, tryb 1 portu szeregowego. Do wysyłania znaków z klawiatury komputera PC należy wykorzystać program Hyper Terminal. ZADANIE 2 Napisać program dekodujący znaki będące kodami ASCII przycisków 0, 1, 2, 3, 4, 5, 6, 7 klawiatury komputera PC przesyłane za pomocą łącza RS-232 do modułu ABC-02. Stan wciśniętego przycisku powinien być sygnalizowany za pomocą odpowiedniej diody LED podłączonej do portu P2 (0 - P2.0, 1 - P2.1, 2 - P2.2, 3 - P2.3, 4 - P2.4, 5 - P2.5, 6 - P2.6, 7 - P2.7) w module ABC-02. Prędkość transmisji 4800bit/s, tryb 1 portu szeregowego. ZADANIE 3 Napisać program odbierający i wysyłający komunikat wieloznakowy na zasadzie echa z sumą kontrolną EX-OR. Komunikat powinien mieć następujący format: $abc*[chsh][chsl][cr][lf] gdzie: $ - jeden znak ASCII, znacznik początku komunikatu abc - trzy znaków ASCII, treść komunikatu, * - jeden znak ASCII, znacznik końca treści komunikatu [CHSH][CHSL] - dwa znaki ASCII reprezentujące 8-bitową liczbę zapisaną w kodzie heksadecymalnym, bądącą suma kontrolną EX-OR, [CR] - pierwszy znacznik końca komunikatu, [LF] - drugi znacznik końca komunikatu. Suma kontrolna liczona jest w następujący sposób: CHS = 0 xor a xor b xor c. Do wysyłania komunikatu należy wykorzystać program Hyper Terminal wysyłanie pliku. Prędkość transmisji 4800bit/s, tryb 1 portu szeregowego. 8

9 5. Przewód łączeniowy komputera PC i modułu ABC-02 Do komputera PC Do modułu ABC-02 DB9F DB9F Rys.10. Przewód łączeniowy do transmisji danych bez kontroli sprzętowej. Pełny opis sygnałów gniazda DB9 łącza RS232: 1 DCD - Data Carrier Detected - sygnał wykrycia nośnej 2 RXD - Receive Data - odbiór danych 3 TXD - Transmit Data - transmisja danych 4 DTR - Data Terminal Ready - przetworzono dane (gotowość odbioru) 5 GND - Signal Ground - masa 6 DSR - Data Set Ready - wypełniony bufor (gotowość transmisji) 7 RTS - Request to Send Data - żądanie wysyłania 8 CTS - Clear to Send Data - gotowość wysyłania 9 RI - Ring indicator - wskaźnik dzwonka Uwaga W celu transmisji danych za pomocą łącza RS232 do i z modułu ABC-02, należy w module ABC-02 zewrzeć styki złącza JP1-1 z JP1-2 i JP2-1 z JP2-2. 9

10 6. Tablica kodów ASCII (American Standard Code for Information Interchange) Tablica kodów ASCII rozszerzona Źródło: Źródło: 10

TECHNIKA MIKROPROCESOROWA

TECHNIKA MIKROPROCESOROWA LABORATORIUM TECHNIKA MIKROPROCESOROWA Port transmisji szeregowej USART ATmega Opracował: Tomasz Miłosławski 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się ze sposobami komunikacji mikrokontrolera

Bardziej szczegółowo

. Rodzaje transmisji sygnału i RS-232

. Rodzaje transmisji sygnału i RS-232 . Rodzaje transmisji sygnału i RS-232 1. Transmisja szeregowa i równoległa Transmisja sygnału może przebiegać w różnoraki sposób. Najbardziej podstawowym z podziałów, jest podział transmisji sygnału na

Bardziej szczegółowo

Instytut Teleinformatyki

Instytut Teleinformatyki Instytut Teleinformatyki Wydział Fizyki, Matematyki i Informatyki Politechnika Krakowska Mikroprocesory i mikrokontrolery Obsługa portu szeregowego laboratorium: 05 autor: mgr inż. Michal Lankosz dr hab.

Bardziej szczegółowo

MIKROPROCESORY architektura i programowanie

MIKROPROCESORY architektura i programowanie Struktura portów (CISC) Port to grupa (zwykle 8) linii wejścia/wyjścia mikrokontrolera o podobnych cechach i funkcjach Większość linii we/wy może pełnić dwie lub trzy rozmaite funkcje. Struktura portu

Bardziej szczegółowo

Techniki mikroprocesorowe i systemy wbudowane

Techniki mikroprocesorowe i systemy wbudowane Intel 8051 układy Techniki mikroprocesorowe i systemy wbudowane Wykład 6 Układy oparte na procesorach rodziny Intel 51 Wojciech Kordecki wojciech.kordecki@pwsz-legnica.eu Państwowa Wyższa Szkoła Zawodowa

Bardziej szczegółowo

Hardware mikrokontrolera X51

Hardware mikrokontrolera X51 Hardware mikrokontrolera X51 Ryszard J. Barczyński, 2016 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Hardware mikrokontrolera X51 (zegar)

Bardziej szczegółowo

ĆWICZENIE 5. TEMAT: OBSŁUGA PORTU SZEREGOWEGO W PAKIECIE KEILuVISON WYSYŁANIE PORTEM SZEREGOWYM

ĆWICZENIE 5. TEMAT: OBSŁUGA PORTU SZEREGOWEGO W PAKIECIE KEILuVISON WYSYŁANIE PORTEM SZEREGOWYM ĆWICZENIE 5 TEMAT: OBSŁUGA PORTU SZEREGOWEGO W PAKIECIE KEILuVISON WYSYŁANIE PORTEM SZEREGOWYM Wiadomości wstępne: Port szeregowy może pracować w czterech trybach. Tryby różnią się między sobą liczbą bitów

Bardziej szczegółowo

MIKROPROCESORY architektura i programowanie

MIKROPROCESORY architektura i programowanie Systematyczny przegląd. (CISC) SFR umieszczane są w wewnętrznej pamięci danych (80H 0FFH). Adresowanie wyłącznie bezpośrednie. Rejestry o adresach podzielnych przez 8 są też dostępne bitowo. Adres n-tego

Bardziej szczegółowo

Start Bity Bit Stop 1 Bit 0 1 2 3 4 5 6 7 Par. 1 2. Rys. 1

Start Bity Bit Stop 1 Bit 0 1 2 3 4 5 6 7 Par. 1 2. Rys. 1 Temat: Obsługa portu komunikacji szeregowej RS232 w systemie STRC51. Ćwiczenie 2. (sd) 1.Wprowadzenie do komunikacji szeregowej RS232 Systemy bazujące na procesorach C51 mogą komunikować się za pomocą

Bardziej szczegółowo

Architektura mikrokontrolera MCS51

Architektura mikrokontrolera MCS51 Architektura mikrokontrolera MCS51 Ryszard J. Barczyński, 2017 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Architektura mikrokontrolera

Bardziej szczegółowo

Architektura mikrokontrolera MCS51

Architektura mikrokontrolera MCS51 Architektura mikrokontrolera MCS51 Ryszard J. Barczyński, 2018 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Architektura mikrokontrolera

Bardziej szczegółowo

System interfejsu RS 232C opracowali P. Targowski i M. Rębarz

System interfejsu RS 232C opracowali P. Targowski i M. Rębarz System interfejsu RS 232C opracowali P. Targowski i M. Rębarz Standard RS 232C (Recommended Standard) został ustanowiony w 1969 r. przez Electronic Industries Association. Definiuje on sposób nawiązania

Bardziej szczegółowo

INTERFEJSY SYSTEMÓW ELEKTRONICZNYCH. Interfejsy klasy RS

INTERFEJSY SYSTEMÓW ELEKTRONICZNYCH. Interfejsy klasy RS INTERFEJSY SYSTEMÓW ELEKTRONICZNYCH Interfejsy klasy RS Grzegorz Lentka/Marek Niedostatkiewicz Katedra Optoelektroniki i Systemów Elektronicznych ETI PG 2010 RS232 (1) RS232-1962, RS232C - 1969, Electronic

Bardziej szczegółowo

4 Transmisja szeregowa, obsługa wyświetlacza LCD.

4 Transmisja szeregowa, obsługa wyświetlacza LCD. 1 4 Transmisja szeregowa, obsługa wyświetlacza LCD. Zagadnienia do przygotowania: - budowa i działanie interfejsu szeregowego UART, - tryby pracy, - ramka transmisyjna, - przeznaczenie buforów obsługi

Bardziej szczegółowo

LABORATORIUM TELEINFORMATYKI W GÓRNICTWIE

LABORATORIUM TELEINFORMATYKI W GÓRNICTWIE KATEDRA ELEKTRYFIKACJI I AUTOMATYZACJI GÓRNICTWA LABORATORIUM TELEINFORMATYKI W GÓRNICTWIE Standardy szeregowej asynchronicznej transmisji danych RS232, RS485, modemy telefoniczne (INSTRUKCJA LABORATORYJNA)

Bardziej szczegółowo

MOBOT-RCR v2 miniaturowe moduły radiowe Bezprzewodowa transmisja UART

MOBOT-RCR v2 miniaturowe moduły radiowe Bezprzewodowa transmisja UART MOBOT-RCR v2 miniaturowe moduły radiowe Bezprzewodowa transmisja UART Własności MOBOT-RCR v2a: - pasmo komunikacji: ISM 433MHz lub 868MHz - zasięg 50m 300m * - zasilanie: z USB, - interfejs wyjściowy:

Bardziej szczegółowo

4 Transmisja szeregowa na przykładzie komunikacji dwukierunkowej z komputerem PC, obsługa wyświetlacza LCD.

4 Transmisja szeregowa na przykładzie komunikacji dwukierunkowej z komputerem PC, obsługa wyświetlacza LCD. 13 4 Transmisja szeregowa na przykładzie komunikacji dwukierunkowej z komputerem PC, obsługa wyświetlacza LCD. Zagadnienia do przygotowania: - budowa i działanie interfejsu szeregowego UART, - tryby pracy,

Bardziej szczegółowo

IZOLATOR FOTOELEKTRYCZNY Z INTERFEJSEM SZEREGOWYM RS-232

IZOLATOR FOTOELEKTRYCZNY Z INTERFEJSEM SZEREGOWYM RS-232 IZOLATOR FOTOELEKTRYCZNY Z INTERFEJSEM SZEREGOWYM RS-232 Instrukcja użytkowania DA-70163 I. Wprowadzenie Dzięki zastosowaniu zaawansowanej technologii izolator fotoelektryczny z interfejsem szeregowym

Bardziej szczegółowo

Kod produktu: MP01611-ZK

Kod produktu: MP01611-ZK ZAMEK BEZSTYKOWY RFID ZE ZINTEGROWANĄ ANTENĄ, WYJŚCIE RS232 (TTL) Moduł stanowi gotowy do zastosowania bezstykowy zamek pracujący w technologii RFID dla transponderów UNIQUE 125kHz, zastępujący z powodzeniem

Bardziej szczegółowo

Ćwiczenie 2 Transmisja a szeregowa µc 8051(8052) - PC

Ćwiczenie 2 Transmisja a szeregowa µc 8051(8052) - PC Laboratorium Techniki Mikroprocesorowej Informatyka studia dzienne Ćwiczenie 2 Transmisja a szeregowa µc 8051(8052) - PC Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z budową i programowaniem implementacji

Bardziej szczegółowo

Ćwiczenie 6 Komunikacja z komputerem (łącze RS232)

Ćwiczenie 6 Komunikacja z komputerem (łącze RS232) IMiO PW, LPTM, Ćwiczenie 6, Komunikacja z komputerem -1- Ćwiczenie 6 Komunikacja z komputerem (łącze RS232) IMiO PW, LPTM, Ćwiczenie 6, Komunikacja z komputerem -2-1. Cel ćwiczenia Celem ćwiczenia jest

Bardziej szczegółowo

Kod produktu: MP01611

Kod produktu: MP01611 CZYTNIK RFID ZE ZINTEGROWANĄ ANTENĄ, WYJŚCIE RS232 (TTL) Moduł stanowi tani i prosty w zastosowaniu czytnik RFID dla transponderów UNIQUE 125kHz, umożliwiający szybkie konstruowanie urządzeń do bezstykowej

Bardziej szczegółowo

Przemysłowe Sieci informatyczne

Przemysłowe Sieci informatyczne Wykład #3 Transmisja szeregowa Przemysłowe Sieci informatyczne Opracował dr inż. Jarosław Tarnawski Plan wykładu Transmisja szeregowa i równoległa Transmisja synchroniczna i asynchroniczna Simpleks, pół

Bardziej szczegółowo

dokument DOK 02-05-12 wersja 1.0 www.arskam.com

dokument DOK 02-05-12 wersja 1.0 www.arskam.com ARS3-RA v.1.0 mikro kod sterownika 8 Linii I/O ze zdalną transmisją kanałem radiowym lub poprzez port UART. Kod przeznaczony dla sprzętu opartego o projekt referencyjny DOK 01-05-12. Opis programowania

Bardziej szczegółowo

Instrukcje do laboratorium ASK (1)

Instrukcje do laboratorium ASK (1) Instrukcje do laboratorium ASK (1) Ćwiczenie 1. Połączenie dwóch komputerów przy pomocy złącza szeregowego RS232. 1.1 Przygotowanie kabla do łączenia komputerów według schematu: 3 (2) TxD RxD (3) 2 2 (3)

Bardziej szczegółowo

Programowanie mikrokontrolerów AVR z rodziny ATmega.

Programowanie mikrokontrolerów AVR z rodziny ATmega. Programowanie mikrokontrolerów AVR z rodziny ATmega. Materiały pomocnicze Jakub Malewicz jakub.malewicz@pwr.wroc.pl Wszelkie prawa zastrzeżone. Kopiowanie w całości lub w częściach bez zgody i wiedzy autora

Bardziej szczegółowo

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej Struktura stanowiska laboratoryjnego Na rysunku 1.1 pokazano strukturę stanowiska laboratoryjnego Z80 z interfejsem częstościomierza- czasomierz PFL 21/22. Rys.1.1. Struktura stanowiska. Interfejs częstościomierza

Bardziej szczegółowo

Instytut Teleinformatyki

Instytut Teleinformatyki Instytut Teleinformatyki Wydział Fizyki, Matematyki i Informatyki Politechnika Krakowska Mikroprocesory i mikrokontrolery Przerwania laboratorium: 04 autor: mgr inż. Michał Lankosz dr hab. Zbisław Tabor,

Bardziej szczegółowo

Kod produktu: MP01611-ZK

Kod produktu: MP01611-ZK ZAMEK BEZSTYKOWY RFID ZE ZINTEGROWANĄ ANTENĄ, WYJŚCIE RS232 (TTL) Moduł stanowi gotowy do zastosowania bezstykowy zamek pracujący w technologii RFID dla transponderów UNIQUE 125kHz, zastępujący z powodzeniem

Bardziej szczegółowo

Zerowanie mikroprocesora

Zerowanie mikroprocesora Zerowanie mikroprocesora Zerowanie (RESET) procesora jest potrzebne dla ustalenia początkowych warunków pracy po włączeniu zasilania: adres początku programu stan systemu przerwań zawartość niektórych

Bardziej szczegółowo

Kod produktu: MP-BTM222-5V

Kod produktu: MP-BTM222-5V Moduł interfejsu Bluetooth na bazie BTM-222, sterowany komendami AT, poziom napięć TTL 5V Urządzenie zbudowano w oparciu o moduł transmisyjny Bluetooth typu BTM-222 firmy Rayson, umożliwiający zasięg bezprzewodowy

Bardziej szczegółowo

Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury

Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury Cel ćwiczenia: Głównym celem ćwiczenia jest nauczenie się obsługi klawiatury. Klawiatura jest jednym z urządzeń wejściowych i prawie zawsze występuje

Bardziej szczegółowo

Kod produktu: MP01105T

Kod produktu: MP01105T MODUŁ INTERFEJSU DO POMIARU TEMPERATURY W STANDARDZIE Właściwości: Urządzenie stanowi bardzo łatwy do zastosowania gotowy interfejs do podłączenia max. 50 czujników temperatury typu DS18B20 (np. gotowe

Bardziej szczegółowo

Instrukcja obsługi. Terminal SD for DB25 CNC

Instrukcja obsługi. Terminal SD for DB25 CNC Instrukcja obsługi Terminal SD for DB25 CNC Wstęp Terminal służy do wysyłania i odbierania programów bezpośrednio z karty SD do maszyny CNC. Zapewnia bezproblemową komunikację ze sterownikami firmy Fanuc,

Bardziej szczegółowo

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie:

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie: Zaliczenie Termin zaliczenia: 14.06.2007 Sala IE 415 Termin poprawkowy: >18.06.2007 (informacja na stronie: http://neo.dmcs.p.lodz.pl/tm/index.html) 1 Współpraca procesora z urządzeniami peryferyjnymi

Bardziej szczegółowo

Instrukcja do oprogramowania ENAP DEC-1

Instrukcja do oprogramowania ENAP DEC-1 Instrukcja do oprogramowania ENAP DEC-1 Do urządzenia DEC-1 dołączone jest oprogramowanie umożliwiające konfigurację urządzenia, rejestrację zdarzeń oraz wizualizację pracy urządzenia oraz poszczególnych

Bardziej szczegółowo

Kod produktu: MP-BT-RS232

Kod produktu: MP-BT-RS232 Interfejs Bluetooth na RS232 do zastosowań kontrolno-pomiarowych, sterowany komendami AT Urządzenie zbudowano w oparciu o moduł transmisyjny Bluetooth typu BTM-222 firmy Rayson, umożliwiający zasięg bezprzewodowy

Bardziej szczegółowo

Ćw. 5. Obsługa portu szeregowego UART w mikrokontrolerach 8051.

Ćw. 5. Obsługa portu szeregowego UART w mikrokontrolerach 8051. Ćw 5 Obsługa portu szeregowego UART w mikrokontrolerach 8051 Opracowanie: mgr inż Michał Lankosz 1 Wprowadzenie Celem ćwiczenia jest poznanie działania układu transmisji szeregowej UART 2 Niezbędne wiadomości

Bardziej szczegółowo

SML3 październik

SML3 październik SML3 październik 2005 16 06x_EIA232_4 Opis ogólny Moduł zawiera transceiver EIA232 typu MAX242, MAX232 lub podobny, umożliwiający użycie linii RxD, TxD, RTS i CTS interfejsu EIA232 poprzez złącze typu

Bardziej szczegółowo

Konwerter RS 232 / Centronics typ KSR

Konwerter RS 232 / Centronics typ KSR W i t o l d J u r e c z k o 44-151 Gliwice, ul. Daszyñskiego 560 Regon: 271215331 NIP: 631-010-66-35 Internet: www.yuko.com.pl e-mail: yuko@yuko.com.pl tel./ fax : (+48) (32) 230-89-49 telefony wewnêtrzne,

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA II

TECHNIKA MIKROPROCESOROWA II Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki TECHNIKA MIKROPROCESOROWA II LAB 6 Moduł UART - współpraca z komputerem poprzez BlueTooth Mariusz Sokołowski

Bardziej szczegółowo

Instytut Teleinformatyki

Instytut Teleinformatyki Instytut Teleinformatyki Wydział Fizyki, Matematyki i Informatyki Politechnika Krakowska Mikroprocesory i mikrokontrolery Liczniki i timery laboratorium: 03 autor: mgr inż. Michał Lankosz dr hab. Zbisław

Bardziej szczegółowo

ad a) Konfiguracja licznika T1 Niech nasz program składa się z dwóch fragmentów kodu: inicjacja licznika T1 pętla główna

ad a) Konfiguracja licznika T1 Niech nasz program składa się z dwóch fragmentów kodu: inicjacja licznika T1 pętla główna Technika Mikroprocesorowa Laboratorium 4 Obsługa liczników i przerwań Cel ćwiczenia: Celem ćwiczenia jest nabycie umiejętności obsługi układów czasowo-licznikowych oraz obsługi przerwań. Nabyte umiejętności

Bardziej szczegółowo

Ćwiczenie 9 Częstościomierz oparty na µc 8051(8052)

Ćwiczenie 9 Częstościomierz oparty na µc 8051(8052) Laboratorium Techniki Mikroprocesorowej Informatyka studia dzienne Ćwiczenie 9 Częstościomierz oparty na µc 8051(8052) Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z możliwościami zastosowania mikrokontrolerów

Bardziej szczegółowo

KERN EW-A01 Wersja /99

KERN EW-A01 Wersja /99 KERN & Sohn GmbH Ziegelei 1 D-72336 Balingen E-Mail: info@kern-sohn.com Tel: +49-[0]7433-9933-0 Fax: +49-[0]7433-9933-149 Internet: www.kern-sohn.com PL Opis interfejsu Strona 2 KERN EW-A01 Wersja 1.0

Bardziej szczegółowo

Systemy wbudowane. Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej. Witold Kozłowski

Systemy wbudowane. Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej. Witold Kozłowski Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej Systemy wbudowane Witold Kozłowski Zakład Fizyki i Technologii Struktur Nanometrowych 90-236 Łódź, Pomorska 149/153 https://std2.phys.uni.lodz.pl/mikroprocesory/

Bardziej szczegółowo

Zagadnienia zaliczeniowe z przedmiotu Układy i systemy mikroprocesorowe elektronika i telekomunikacja, stacjonarne zawodowe

Zagadnienia zaliczeniowe z przedmiotu Układy i systemy mikroprocesorowe elektronika i telekomunikacja, stacjonarne zawodowe Zagadnienia zaliczeniowe z przedmiotu Układy i systemy mikroprocesorowe elektronika i telekomunikacja, stacjonarne zawodowe System mikroprocesorowy 1. Przedstaw schemat blokowy systemu mikroprocesorowego.

Bardziej szczegółowo

CM-180-5 Konwerter SUCOM_A Master - ModBus RTU Slave

CM-180-5 Konwerter SUCOM_A Master - ModBus RTU Slave CM-180-5 Konwerter SUCOM_A Master - ModBus RTU Slave AN-CM-180-5-1-v1_02 Data aktualizacji: 02/2013r. 02/2013 AN-CM-180-5-1-v1_02 1 Spis treści Symbole i oznaczenia... 3 Ogólne zasady instalacji i bezpieczeństwa...

Bardziej szczegółowo

interfejs szeregowy wyświetlaczy do systemów PLC

interfejs szeregowy wyświetlaczy do systemów PLC LDN SBCD interfejs szeregowy wyświetlaczy do systemów PLC SEM 08.2003 Str. 1/5 SBCD interfejs szeregowy wyświetlaczy do systemów PLC INSTRUKCJA OBSŁUGI Charakterystyka Interfejs SBCD w wyświetlaczach cyfrowych

Bardziej szczegółowo

Kod produktu: MP01105

Kod produktu: MP01105 MODUŁ INTERFEJSU KONTROLNO-POMIAROWEGO DLA MODUŁÓW Urządzenie stanowi bardzo łatwy do zastosowania gotowy interfejs kontrolno-pomiarowy do podłączenia modułów takich jak czujniki temperatury, moduły przekaźnikowe,

Bardziej szczegółowo

Ogólne zasady zestawiania połączeń modemowych pomiędzy sterownikami serii PCD firmy SAIA- Burgess Electronics oraz komputerem systemu asix

Ogólne zasady zestawiania połączeń modemowych pomiędzy sterownikami serii PCD firmy SAIA- Burgess Electronics oraz komputerem systemu asix NIEZAWODNE ROZWIĄZANIA SYSTEMÓW AUTOMATYKI Ogólne zasady zestawiania połączeń modemowych pomiędzy sterownikami serii PCD firmy SAIA- Burgess Electronics oraz komputerem systemu asix Pomoc techniczna Dok.

Bardziej szczegółowo

Ćwiczenie 7 Matryca RGB

Ćwiczenie 7 Matryca RGB IMiO PW, LPTM, Ćwiczenie 7, Matryca RGB -1- Ćwiczenie 7 Matryca RGB IMiO PW, LPTM, Ćwiczenie 7, Matryca RGB -2-1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z inną oprócz RS - 232 formą szeregowej

Bardziej szczegółowo

Komunikacja w mikrokontrolerach Laboratorium

Komunikacja w mikrokontrolerach Laboratorium Laboratorium Ćwiczenie 2 Magistrala UART Program ćwiczenia: konfiguracja transmisji danych między komputerem PC a mikrokontrolerem przy użyciu magistrali UART. Zagadnienia do przygotowania: podstawy programowania

Bardziej szczegółowo

IC200UDR002 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO

IC200UDR002 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO IC200UDR002 8 wejść dyskretnych 24 VDC, logika dodatnia/ujemna. Licznik impulsów wysokiej częstotliwości. 6 wyjść przekaźnikowych 2.0 A. Port: RS232. Zasilanie: 24 VDC. Sterownik VersaMax Micro UDR002

Bardziej szczegółowo

RS-H0-05 (K)* Czytnik RFID MHz Mifare. Karta użytkownika

RS-H0-05 (K)* Czytnik RFID MHz Mifare. Karta użytkownika RS-H0-05 (K)* Czytnik RFID 13.56 MHz Mifare Karta użytkownika *Litera K odnosi się do wersji czytnika ze wspólną katodą. Informacje szczególne dla tej wersji będą prezentowane oddzielnie. Przed użyciem

Bardziej szczegółowo

Instrukcja do konwertera USB-RS232

Instrukcja do konwertera USB-RS232 1. Przeznaczenie Instrukcja do konwertera USB-RS232 Komputery coraz częściej nie posiadają portów szeregowych, natomiast wyposażone są w porty USB. Konwerter USB-RS232 to urządzenie rozwiązujące problem

Bardziej szczegółowo

Interfejs transmisji danych

Interfejs transmisji danych Interfejs transmisji danych Model komunikacji: RS232 Recommended Standard nr 232 Specyfikacja warstw 1 i 2 Synchroniczna czy asynchroniczna DTE DCE DCE DTE RS232 szczegóły Uproszczony model komunikacyjny

Bardziej szczegółowo

Transmisja danych cyfrowych

Transmisja danych cyfrowych ransmisja danych cyfrowych Mariusz Rawski rawski@tele.pw.edu.pl www.zpt.tele.pw.edu.pl/~rawski/ Mariusz Rawski 1 łytka laboratoryjna U1 Education Board Mariusz Rawski 2 Standard RS 232 Standard RS-232

Bardziej szczegółowo

Systemy wbudowane. Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej. Witold Kozłowski

Systemy wbudowane. Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej. Witold Kozłowski Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej Systemy wbudowane Witold Kozłowski Zakład Fizyki i Technologii Struktur Nanometrowych 9-236 Łódź, Pomorska 49/53 https://std2.phys.uni.lodz.pl/mikroprocesory/

Bardziej szczegółowo

1.10 MODUŁY KOMUNIKACYJNE

1.10 MODUŁY KOMUNIKACYJNE ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO 1.10 MODUŁY KOMUNIKACYJNE IC200SET001 konwerter łącza RS (RS232 lub RS485) na Ethernet (10/100Mbit), obsługiwane protokoły: SRTP, Modbus TCP IC200USB001

Bardziej szczegółowo

Opis czytnika TRD-FLAT CLASSIC ver. 1.1. Naścienny czytnik transponderów UNIQUE w płaskiej obudowie

Opis czytnika TRD-FLAT CLASSIC ver. 1.1. Naścienny czytnik transponderów UNIQUE w płaskiej obudowie TRD-FLAT CLASSIC Naścienny czytnik transponderów UNIQUE w płaskiej obudowie Podstawowe cechy : zasilanie od 3V do 6V 4 formaty danych wyjściowych POWER LED w kolorze żółtym czerwono-zielony READY LED sterowany

Bardziej szczegółowo

Konwerter Transmisji KT-02

Konwerter Transmisji KT-02 EL-TEC Sp. z o.o. e-mail: info@el-tec.com.pl http://www.el-tec.com.pl Konwerter Transmisji KT-02 Dokumentacja Techniczno Ruchowa Spis treści 1. Opis działania...3 1.1. Dane techniczne...4 1.2. Instalacje

Bardziej szczegółowo

Systemy wbudowane. Wprowadzenie. Wprowadzenie. Mikrokontroler 8051 Budowa

Systemy wbudowane. Wprowadzenie. Wprowadzenie. Mikrokontroler 8051 Budowa Systemy wbudowane Mikrokontroler 8051 Budowa dr inż. Maciej Piechowiak Wprowadzenie rdzeń CPU z jednostką artymetyczno-logiczną (ALU) do obliczeń na liczbach 8-bitowych, uniwersalne dwukierunkowe porty

Bardziej szczegółowo

Dokumentacja Techniczna. Konwerter USB/RS-232 na RS-285/422 COTER-24I COTER-24N

Dokumentacja Techniczna. Konwerter USB/RS-232 na RS-285/422 COTER-24I COTER-24N Dokumentacja Techniczna Konwerter USB/RS-232 na RS-28/422 -U4N -U4I -24N -24I Wersja dokumentu: -man-pl-v7 Data modyfikacji: 2008-12-0 http://www.netronix.pl Spis treści 1. Specyfikacja...3 2. WyposaŜenie...4

Bardziej szczegółowo

PUNKTOWE STEROWNIKI VERSAMAX MICRO

PUNKTOWE STEROWNIKI VERSAMAX MICRO 1.7 64-PUNKTOWE STEROWNIKI VERSAMAX MICRO IC200UDD064 40 wejść dyskretnych 24 VDC, 24 wyjścia tranzystorowe 24 VDC (zabezpieczenie przed zwarciem i przeciąŝeniem), wbudowany port RS232, drugi port dostępny

Bardziej szczegółowo

Kod produktu: MP00501-XE232NET

Kod produktu: MP00501-XE232NET MODUŁ KONWERTERA RS232-ETHERNET, STEROWANIE KOMENDAMI AT, SERWER E-MAILI Podstawowe informacje Urządzenie zbudowane zostało na bazie modułu XE232NET-1ITR firmy Xecom. Składa się ono z dwóch elementów:

Bardziej szczegółowo

CM ModBus RTU slave DMX master

CM ModBus RTU slave DMX master CM-180-24 ModBus RTU slave DMX master AN-CM-180-2-1-v1_2 Data aktualizacji: 02/2013r. 02/2013 AN-CM-180-2-1-v1_2 1 Spis treści Symbole i oznaczenia... 3 Ogólne zasady instalacji i bezpieczeństwa... 3 1.

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203. Komunikacja z układami cyfrowymi W dr inż. Daniel Kopiec

Programowanie Układów Logicznych kod kursu: ETD6203. Komunikacja z układami cyfrowymi W dr inż. Daniel Kopiec Programowanie Układów Logicznych kod kursu: ETD6203 Komunikacja z układami cyfrowymi W5 30.03.2016 dr inż. Daniel Kopiec Plan wykładu 1 2 3 4 5 6 Standard komunikacji RS232 Enkoder obrotowy Wyświetlacz

Bardziej szczegółowo

Instrukcja obsługi urządzenia LAN2RS

Instrukcja obsługi urządzenia LAN2RS Instrukcja obsługi urządzenia LAN2RS Spis treści 1 Informacje ogólne...1 2 Konfiguracja...1 2.1 Konfiguracja z wykorzystaniem terminala RS232...1 2.2 Konfiguracja za pomocą Telnetu...4 2.3 Lista komend

Bardziej szczegółowo

ALNET USB - RS Konwerter USB RS 232/422/485 Instrukcja obsługi

ALNET USB - RS Konwerter USB RS 232/422/485 Instrukcja obsługi ALNET USB - RS Konwerter USB RS 232/422/485 Instrukcja obsługi AN-ALNET USB - RS-1-v_1 Data aktualizacji: 03/2012r. 03/2012 ALNET USB RS 1-v_1 1 Spis treści 1. Przeznaczenie... 3 2. Parametry urządzenia...

Bardziej szczegółowo

ARS3-MODEM dokumentacja modemu radiowego do lokalnej transmisji danych w wolnych pasmach 433MHz i 868MHz

ARS3-MODEM dokumentacja modemu radiowego do lokalnej transmisji danych w wolnych pasmach 433MHz i 868MHz ARS3-MODEM dokumentacja modemu radiowego do lokalnej transmisji danych w wolnych pasmach 433MHz i 868MHz dokument DOK 04-05-12 wersja 1.0 arskam.com www.arskam.com 1 firma ARIES Warszawa Polska 1. Zastosowania

Bardziej szczegółowo

Konwerter sygnału RS-232 na RS-485

Konwerter sygnału RS-232 na RS-485 Instrukcja użytkowania DA-70161 I. Wprowadzenie Aby nawiązać przenośną komunikację cyfrową pomiędzy dwoma komputerami wyposażonymi w różne konwertery interfejsów szeregowych lub pomiędzy innymi inteligentnymi

Bardziej szczegółowo

CM-180-1 Konwerter ModBus RTU slave ModBus RTU slave

CM-180-1 Konwerter ModBus RTU slave ModBus RTU slave CM-180-1 Konwerter ModBus RTU slave ModBus RTU slave Spis treści: 1. Przeznaczenie modułu 3 2. Tryby pracy modułu 3 2.1. Tryb inicjalizacyjny 3 2.2. Tryb normalny 3 3. Podłączenie modułu 3 4. Konfiguracja

Bardziej szczegółowo

Programowalne układy logiczne kod kursu: ETD Układy sekwencyjne W

Programowalne układy logiczne kod kursu: ETD Układy sekwencyjne W Programowalne układy logiczne kod kursu: ETD008270 Układy sekwencyjne W6 10.05.2019 mgr inż. Maciej Rudek Układy kombinacyjne - przypomnienie Układ kombinacyjny jest to układ dla którego zmiana na wejściu

Bardziej szczegółowo

Konwerter Transmisji KT-01

Konwerter Transmisji KT-01 EL-TEC Sp. z o.o. e-mail: info@el-tec.com.pl http://www.el-tec.com.pl Konwerter Transmisji KT-01 Dokumentacja Techniczno Ruchowa Spis Treści 1. Opis działania... 3 1.1. Podstawowe cechy:... 3 1.2. Dane

Bardziej szczegółowo

Programowanie mikrokontrolerów. 8 listopada 2007

Programowanie mikrokontrolerów. 8 listopada 2007 Programowanie mikrokontrolerów Marcin Engel Marcin Peczarski 8 listopada 2007 Alfanumeryczny wyświetlacz LCD umożliwia wyświetlanie znaków ze zbioru będącego rozszerzeniem ASCII posiada zintegrowany sterownik

Bardziej szczegółowo

Technika mikroprocesorowa I Wykład 4

Technika mikroprocesorowa I Wykład 4 Technika mikroprocesorowa I Wykład 4 Układ czasowo licznikowy 8253 INTEL [Źródło: https://www.vtubooks.com/free_downloads/8253_54-1.pdf] Wyprowadzenia układu [Źródło: https://www.vtubooks.com/free_downloads/8253_54-1.pdf]

Bardziej szczegółowo

Architektury Komputerów - Laboratorium Informatyka III rok studia dzienne

Architektury Komputerów - Laboratorium Informatyka III rok studia dzienne Architektury Komputerów - Laboratorium Informatyka III rok studia dzienne Ćwiczenie nr 3: Komunikacja szeregowa w systemach mikroprocesorowych Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z systemami

Bardziej szczegółowo

PRZETWORNIK ADC w mikrokontrolerach Atmega16-32

PRZETWORNIK ADC w mikrokontrolerach Atmega16-32 Zachodniopomorski Uniwersytet Technologiczny WYDZIAŁ ELEKTRYCZNY Katedra Inżynierii Systemów, Sygnałów i Elektroniki LABORATORIUM TECHNIKA MIKROPROCESOROWA PRZETWORNIK ADC w mikrokontrolerach Atmega16-32

Bardziej szczegółowo

Moduł RS232 E054. TAP - Systemy Alarmowe Sp. z o. o. os. Armii Krajowej 125 61-381 Poznań tel. 061 876 70 88; fax: 061 875 03 03

Moduł RS232 E054. TAP - Systemy Alarmowe Sp. z o. o. os. Armii Krajowej 125 61-381 Poznań tel. 061 876 70 88; fax: 061 875 03 03 TAP - Systemy Alarmowe Sp. z o. o. os. Armii Krajowej 125 61-381 Poznań tel. 061 876 70 88; fax: 061 875 03 03 I n s t r u k c j a O b s ł u g i Ademco Microtech Security Moduł RS232 E054 Nr kat.: L114/A

Bardziej szczegółowo

MODEMY ASTRAADA GSM ASTOR KATALOG SYSTEMÓW KOMUNIKACJI ASTRAADA GSM. AS30GSM101C Astraada GSM; Modem GSM/GPRS RB900 z RS232; Obsługa SMS

MODEMY ASTRAADA GSM ASTOR KATALOG SYSTEMÓW KOMUNIKACJI ASTRAADA GSM. AS30GSM101C Astraada GSM; Modem GSM/GPRS RB900 z RS232; Obsługa SMS ASTOR KATALOG SYSTEMÓW KOMUNIKACJI ASTRAADA GSM MODEMY ASTRAADA GSM AS30GSM101C Astraada GSM; Modem GSM/GPRS RB900 z RS232; 850/900/1800/1900 MHz; Programowanie w języku C lub Python oraz komendami AT,

Bardziej szczegółowo

Konwerter DAN485-MDIP

Konwerter DAN485-MDIP Konwerter DAN485-MDIP KONWERTER DAN485-MDIP służy do zamiany standardu komunikacyjnego z RS232 na RS485 (lub RS422). Dzięki niemu możliwe jest transmitowanie danych na większe odległości (do 1200m) niż

Bardziej szczegółowo

usbcat OPTOIZOLOWANY INTERFEJS USB<->CAT OPTOIZOLOWANE STEROWANIE PTT, CW, FSK GALWANICZNA IZOLACJA AUDIO IN, AUDIO OUT Podręcznik użytkownika

usbcat OPTOIZOLOWANY INTERFEJS USB<->CAT OPTOIZOLOWANE STEROWANIE PTT, CW, FSK GALWANICZNA IZOLACJA AUDIO IN, AUDIO OUT Podręcznik użytkownika usbcat OPTOIZOLOWANY INTERFEJS USBCAT OPTOIZOLOWANE STEROWANIE PTT, CW, FSK GALWANICZNA IZOLACJA AUDIO IN, AUDIO OUT Podręcznik użytkownika Designer: Mateusz Płociński SQ3PLX Producer: Microsat info@microsat.com.pl

Bardziej szczegółowo

Opis czytnika TRD-80 CLASSIC ver Moduł czytnika transponderów UNIQUE z wbudowaną anteną

Opis czytnika TRD-80 CLASSIC ver Moduł czytnika transponderów UNIQUE z wbudowaną anteną TRD-80 CLASSIC Moduł czytnika transponderów UNIQUE z wbudowaną anteną Podstawowe cechy : zasilanie od 3V do 6V zintegrowana antena 4 formaty danych wyjściowych wyjście BEEP wyjście PRESENT zasięg odczytu

Bardziej szczegółowo

CM-180-26 ModBus RTU Slave Danfoss MCD3000 Master

CM-180-26 ModBus RTU Slave Danfoss MCD3000 Master CM-180-26 ModBus RTU Slave Danfoss MCD3000 Master AN-CM-180-26-1-v1_03 Data aktualizacji: 02/2013r. 02/2013 AN-CM-180-26-1-v1_03 1 Spis treści Ogólne zasady instalacji i bezpieczeństwa... 3 1. Przeznaczenie...

Bardziej szczegółowo

Instrukcja obsługi czytnika MM-R32

Instrukcja obsługi czytnika MM-R32 Instrukcja obsługi czytnika MM-R32 MM-R32 Copyright 2011 by MicroMade All rights reserved Wszelkie prawa zastrzeżone MicroMade Gałka i Drożdż sp. j. 64-920 PIŁA, ul. Wieniawskiego 16 Tel./fax: (67) 213.24.14

Bardziej szczegółowo

Przemysłowy odtwarzacz plików MP3

Przemysłowy odtwarzacz plików MP3 Przemysłowy odtwarzacz plików MP3 WWW.DIGINN.EU Spis treści 1. Opis odtwarzacza MP3... 3 2. Wyprowadzenia odtwarzacza... 4 2.1 Wymiary płytki... 6 4. Tryby pracy... 8 5. Podłączanie MP3 Playera... 9 6.

Bardziej szczegółowo

Kod produktu: MP-W7100A-RS232

Kod produktu: MP-W7100A-RS232 KONWERTER RS232 - TCP/IP ETHERNET NA BAZIE W7100A FIRMY WIZNET MP-W7100A-RS232 jest gotowym do zastosowania konwerterem standardu RS232 na TCP/IP Ethernet (serwer portu szeregowego). Umożliwia bezpośrednie

Bardziej szczegółowo

APLIKACJA COMMAND POSITIONING Z WYKORZYSTANIEM KOMUNIKACJI SIECIOWEJ Z PROTOKOŁEM USS W PRZETWORNICACH MDS/FDS 5000

APLIKACJA COMMAND POSITIONING Z WYKORZYSTANIEM KOMUNIKACJI SIECIOWEJ Z PROTOKOŁEM USS W PRZETWORNICACH MDS/FDS 5000 APLIKACJA COMMAND POSITIONING Z WYKORZYSTANIEM KOMUNIKACJI SIECIOWEJ Z PROTOKOŁEM USS W PRZETWORNICACH MDS/FDS 5000 Autor: Ver: Marcin Ataman 1.0 Spis treści strona 1. Wstęp... 2 2. Pierwsze uruchomienie....

Bardziej szczegółowo

Modem Bluetooth MBL-232/UK

Modem Bluetooth MBL-232/UK Modem Bluetooth MBL-232/UK Dziękujemy za wybór naszego produktu. Niniejsza instrukcja pomoże państwu w prawidłowym podłączeniu urządzenia, uruchomieniu, oraz umożliwi prawidłowe z niego korzystanie. Przed

Bardziej szczegółowo

SZYMAŃSKI ŁÓDŹ Ul. Wiskicka 22 Tel./fax. (042) Tel./fax. (042) Kom

SZYMAŃSKI ŁÓDŹ Ul. Wiskicka 22 Tel./fax. (042) Tel./fax. (042) Kom SZYMAŃSKI 93-623 ŁÓDŹ Ul. Wiskicka 22 Tel./fax. (042) 645 92 66 Tel./fax. (042) 250 50 52 Kom. 0 604 938 830 INSTRUKCJA WSAŹNIKA POŁOŻEŃ PRZEŁĄCZNIKA ZACZEPÓW TYPU WNZT 25a Opracował: Edward Szymański

Bardziej szczegółowo

1. Wprowadzenie Programowanie mikrokontrolerów Sprzęt i oprogramowanie... 33

1. Wprowadzenie Programowanie mikrokontrolerów Sprzęt i oprogramowanie... 33 Spis treści 3 1. Wprowadzenie...11 1.1. Wstęp...12 1.2. Mikrokontrolery rodziny ARM...13 1.3. Architektura rdzenia ARM Cortex-M3...15 1.3.1. Najważniejsze cechy architektury Cortex-M3... 15 1.3.2. Rejestry

Bardziej szczegółowo

1.1 Co to jest USBCOM?... 3 1.2 Budowa oraz parametry techniczne... 3

1.1 Co to jest USBCOM?... 3 1.2 Budowa oraz parametry techniczne... 3 2014 Konwerter USBCOM Instrukcja obsługi www.barion-st.com 2014-09-30 2 SPIS TREŚCI 1. WSTĘP... 3 1.1 Co to jest USBCOM?... 3 1.2 Budowa oraz parametry techniczne... 3 2. OBSŁUGA URZĄDZENIA... 5 2.1 Instalacja

Bardziej szczegółowo

Pracownia Transmisji Danych, Instytut Fizyki UMK, Toruń. Instrukcja do ćwiczenia nr 10. Transmisja szeregowa sieciami energetycznymi

Pracownia Transmisji Danych, Instytut Fizyki UMK, Toruń. Instrukcja do ćwiczenia nr 10. Transmisja szeregowa sieciami energetycznymi Pracownia Transmisji Danych, Instytut Fizyki UMK, Toruń Instrukcja do ćwiczenia nr 10 Transmisja szeregowa sieciami energetycznymi I. Cel ćwiczenia poznanie praktycznego wykorzystania standardu RS232C

Bardziej szczegółowo

TRB-0610 Konwerter USB RS 232/422/485 Instrukcja obsługi

TRB-0610 Konwerter USB RS 232/422/485 Instrukcja obsługi TRB-0610 Konwerter USB RS 232/422/485 Instrukcja obsługi AN-TRB-0610-1-v_1 Data aktualizacji: 09/2009r. 09/2009 AN-TRB-0610-1-v_1 1 Spis treści Symbole i oznaczenia... 3 Ogólne zasady instalacji i bezpieczeństwa...

Bardziej szczegółowo

Schematy Połączeń Kas Fiskalnych z Wagami Elektronicznymi

Schematy Połączeń Kas Fiskalnych z Wagami Elektronicznymi Schematy Połączeń Kas Fiskalnych z Wagami Elektronicznymi Spis treści Str: Schemat połączenia wagi Basic Price z kasą fiskalną Elzab Delta...2 Schemat połączenia wagi Basic Price z kasą fiskalną Elzab

Bardziej szczegółowo

STEKOP SA. Odbiornik dialerowy. Zakład Pracy Chronionej 15-404 Białystok, ul. Młynowa 21 tel./fax : (+48 85) 7420039, 7423567 http://www.stekop.

STEKOP SA. Odbiornik dialerowy. Zakład Pracy Chronionej 15-404 Białystok, ul. Młynowa 21 tel./fax : (+48 85) 7420039, 7423567 http://www.stekop. STEKOP SA Zakład Pracy Chronionej 15-404 Białystok, ul. Młynowa 21 tel./fax : (+48 85) 7420039, 7423567 http://www.stekop.com Odbiornik dialerowy typ AT 1M ver. 1.0 Instrukcja użytkownika Białystok lipiec

Bardziej szczegółowo

Systemy pomiarowe z interfejsem RS-232. KSP w2

Systemy pomiarowe z interfejsem RS-232. KSP w2 Systemy pomiarowe z interfejsem RS-232 KSP w2 Magistrale i złącza w PC (rys.) Pamięć CACHE Procesor Pamięć RAM Szyna FSB Chipset 1 Złącza PCI Złącza USB Magistrala USB Chipset 2 Magistrala PCI Złącza ISA

Bardziej szczegółowo

1.2 Schemat blokowy oraz opis sygnałów wejściowych i wyjściowych

1.2 Schemat blokowy oraz opis sygnałów wejściowych i wyjściowych Dodatek A Wyświetlacz LCD. Przeznaczenie i ogólna charakterystyka Wyświetlacz ciekłokrystaliczny HY-62F4 zastosowany w ćwiczeniu jest wyświetlaczem matrycowym zawierającym moduł kontrolera i układ wykonawczy

Bardziej szczegółowo

INTERFEJSY SYSTEMÓW ELEKTRONICZNY

INTERFEJSY SYSTEMÓW ELEKTRONICZNY INTERFEJSY SYSTEMÓW ELEKTRONICZNY Marek Niedostatkiewicz Katedra Metrologii i Systemów Elektronicznyc ETI PG 2004 RS232 (1) RS232-1962, RS232C - 1969, Electronic Industrie Association (EIA) ustandaryzowanie

Bardziej szczegółowo