PROJEKTOWANIE UKŁADÓW CYFROWYCH Z WYKORZYSTANIEM PAKIETU MULTISIM 2001 ORAZ JĘZYKA OPISU SPRZĘTU VHDL

Podobne dokumenty
1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

Krótkie wprowadzenie do ModelSim i Quartus2

MentorGraphics ModelSim

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

WYKONANIE APLIKACJI OKIENKOWEJ OBLICZAJĄCEJ SUMĘ DWÓCH LICZB W ŚRODOWISKU PROGRAMISTYCZNYM. NetBeans. Wykonał: Jacek Ventzke informatyka sem.

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

Układy reprogramowalne i SoC Język VHDL (część 4)

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Układy reprogramowalne i SoC Implementacja w układach FPGA

WSCAD. Wykład 5 Szafy sterownicze

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

Bezpieczeństwo informacji oparte o kryptografię kwantową

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje.

Instrukcja uŝytkownika aplikacji modernizowanego Systemu Informacji Oświatowej

Laboratorium z Grafiki InŜynierskiej CAD. Rozpoczęcie pracy z AutoCAD-em. Uruchomienie programu

Instalacja i opis podstawowych funkcji programu Dev-C++

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Projektowania Układów Elektronicznych CAD Laboratorium

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat:

WPROWADZENIE DO ŚRODOWISKA SCICOS

PROJEKT CZĘŚCIOWO FINANSOWANY PRZEZ UNIĘ EUROPEJSKĄ. Opis działania raportów w ClearQuest

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

FAQ: /PL Data: 14/06/2007 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-200

Język opisu sprzętu VHDL

Systemy Czasu Rzeczywistego FPGA

Laboratorium Projektowania Systemów VLSI-ASIC Katedra Elektroniki Akademia Górniczo-Hutnicza

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

1. SFC W PAKIECIE ISAGRAF 2. EDYCJA PROGRAMU W JĘZYKU SFC. ISaGRAF WERSJE 3.4 LUB 3.5 1

Opis szybkiego uruchomienia programu APBSoft

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer

I Tworzenie prezentacji za pomocą szablonu w programie Power-Point. 1. Wybieramy z górnego menu polecenie Nowy a następnie Utwórz z szablonu

Komponent Formularz. Rys. 1. Strona programu Joomla - Rys. 2. Instalacja komponentu

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C

EKSPLOATACJA SYSTEMÓW TECHNICZNYCH - LAB. Wprowadzenie do zajęć

Politechnika Gdańska Katedra Optoelektroniki i Systemów Elektronicznych

Aby w pełni przetestować układ o trzech wejściach IN_0, IN_1 i IN_2 chcemy wygenerować wszystkie możliwe kombinacje sygnałów wejściowych.

Projekt prostego procesora

WyŜsza Szkoła Zarządzania Ochroną Pracy MS EXCEL CZ.2

Modelowanie logiki rewersyjnej w języku VHDL

Instrukcja zarządzania kontami i prawami

Sposoby projektowania systemów w cyfrowych

Instrukcja pierwszego logowania do Serwisu BRe Brokers!

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom:

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

Edytor tekstu OpenOffice Writer Podstawy

Projekt ZSWS. Instrukcja uŝytkowania narzędzia SAP Business Explorer Analyzer. 1 Uruchamianie programu i raportu. Tytuł: Strona: 1 z 31

Projektowanie hierarchiczne Mariusz Rawski

C-geo definicja/edycja obiektów, zapis danych w formacie shape

Projektowanie Urządzeń Cyfrowych

Modelowanie złożonych układów cyfrowych (1)

Podstawowe informacje o obsłudze pliku z uprawnieniami licencja.txt

Podstawowe zasady tworzenia projektu w środowisku uvision 4.0, pisanie programów w asemblerze 8051

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji

Podstawy programowania w środowisku Totally Integration Automation Portal

Zmienne powłoki. Wywołanie wartości następuje poprzez umieszczenie przed nazwą zmiennej znaku dolara ($ZMIENNA), np. ZMIENNA=wartosc.

Podstawy obsługi aplikacji Generator Wniosków Płatniczych

FAQ: /PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200

Multimetr cyfrowy MAS-345. Instrukcja instalacji i obsługi oprogramowania DMM VIEW Ver 2.0

Systemy Czasu Rzeczywistego FPGA

Cyfrowe Przetwarzanie Obrazów i Sygnałów

2. Kliknij Insert->Userform. Jeżeli Toolbox nie pojawi się automatycznie, kliknij View -> Toolbox. Otrzymany widok powinien być jak poniżej.

1. Przypisy, indeks i spisy.

1. Wprowadzenie. 1.1 Uruchamianie AutoCAD-a Ustawienia wprowadzające. Auto CAD Aby uruchomić AutoCada 14 kliknij ikonę

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH,

Konfiguracja modułu alarmowania w oprogramowaniu InTouch 7.11

Cel: Przypisujemy przyciskom określone funkcje panel górny (Panel1)

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

Programowanie w języku Python. Grażyna Koba

Komunikacja Master-Slave w protokole PROFIBUS DP pomiędzy S7-300/S7-400

Pokaz slajdów na stronie internetowej

Tak przygotowane pliki należy umieścić w głównym folderze naszego programu. Klub IKS

Konfiguracja programu Merz OPC Server AS511 i oprogramowania InTouch do komunikacji ze sterownikiem Siemens Simatic S5

FAQ: /PL Data: 26/11/2008 Komunikacja w protokole MPI za pomocą Global Data (GD) pomiędzy sterownikami S7-300

Kolory elementów. Kolory elementów

KaŜdy z formularzy naleŝy podpiąć do usługi. Nazwa usługi moŝe pokrywać się z nazwą formularza, nie jest to jednak konieczne.

Laboratorium 1 Temat: Przygotowanie środowiska programistycznego. Poznanie edytora. Kompilacja i uruchomienie prostych programów przykładowych.

Instrukcja automatycznego tworzenia pozycji towarowych SAD na podstawie danych wczytywanych z plików zewnętrznych (XLS).

BACKUP BAZ DANYCH FIREBIRD

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika

Specyfika projektowania Mariusz Rawski

Makropolecenia w PowerPoint Spis treści

Współpraca Integry z programami zewnętrznymi

Krok po kroku... (czyli jak stworzyć i wydrukować krzyżówkę)

Instrukcja obsługi programu Do-Exp

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch)

Konfiguracja programu pocztowego Outlook Express i toŝsamości.

Instrukcja Instalacji

Celem ćwiczenia jest zapoznanie się z podstawowymi funkcjami i pojęciami związanymi ze środowiskiem AutoCAD 2012 w polskiej wersji językowej.

Opis obsługi programu KALKULACJA

Projektowanie z użyciem procesora programowego Nios II

5. Administracja kontami uŝytkowników

Języki opisu sprzętu VHDL Mariusz Rawski

LABORATORIUM 8,9: BAZA DANYCH MS-ACCESS

Utworzenie aplikacji mobilnej Po uruchomieniu Visual Studio pokazuje się ekran powitalny. Po lewej stronie odnośniki do otworzenia lub stworzenia

Dodawanie grafiki i obiektów

Transkrypt:

Zeszyty Naukowe Wydziału Elektrotechniki i Automatyki Politechniki Gdańskiej Nr 20 XIV Seminarium ZASTOSOWANIE KOMPUTERÓW W NAUCE I TECHNICE 2004 Oddział Gdański PTETiS PROJEKTOWANIE UKŁADÓW CYFROWYCH Z WYKORZYSTANIEM PAKIETU MULTISIM 2001 ORAZ JĘZYKA OPISU SPRZĘTU VHDL Krystyna NOGA 1, Łukasz śyliński 2 Akademia Morska w Gdyni, Katedra Automatyki Okrętowej 1. e-mail: jagat@am.gdynia.pl, 2. e-mail: raskol@pf.pl W artykule zostanie przedstawiony krótki opis oprogramowania Multisim VHDL Education wchodzący w skład pakietu Multisim 2001 oraz przykłady jego wykorzystania do nauczania podstaw projektowania programowalnych układów logicznych opartych na języku VHDL. Multisim VHDL to zaawansowane narzędzie przeznaczone do nauki, projektowania i symulacji układów programowalnych (FPGA / CPLD) jak i innych cyfrowych urządzeń (procesory / pamięci). Posiada on szeroką gamę gotowych narzędzi pomagających stworzyć i edytować projekt. W pracy zostaną omówione moŝliwości budowy projektów w programie Multisim VHDL. Zostaną równieŝ przedstawione przykładowe symulacje. 1. WSTĘP Program Multisim VHDL Education wchodzi w skład pakietu Multisim 2001 firmy Interactive Image Technologies [2]. Multisim VHDL to zaawansowane narzędzie przeznaczone do nauki, projektowania i symulacji układów programowalnych (FPGA / CPLD) jak i innych cyfrowych urządzeń (procesory / pamięci). W skład programu wchodzą gotowe narzędzia wspomagające tworzenie i edytowanie projektu. Do najwaŝniejszych z nich zaliczyć moŝna symulator, edytor kodu źródłowego i kreatory Test Bench Wizard i Design Wizard. Zaletą stosowania języka VHDL jest moŝliwość tworzenia projektu bez konieczności uprzedniego wyboru układu, w którym będzie on realizowany [1, 3, 4]. W programie istnieje moŝliwość określenia normy języka VHDL (IEEE 1076, IEEE 1164), parametrów symulacji, czcionki oraz sposobu wyświetlania okien. 2. STRUKTURA PROGRAMU MULTISIM VHDL EDUCATION W celu uruchomienia programu Multisim VHDL Education naleŝy wybrać w programie Multisim 2001, z zakładki Simulate, polecenie VHDL Simutation, bądź z paska narzędziowego wybrać symbol układu scalonego. Po uruchomieniu programu na ekranie ukaŝe się menu główne (rys. 1), które zawiera pasek menu (1) i pasek narzędziowy (2). Po utworzeniu nowego projektu, bądź otwarciu istniejącego, dostępne jest okno modułów (3).

- 122 - Po dwukrotnym naciśnięciu lewego przycisku myszki, na otwartym module, ukaŝe się pole edycyjne (4). 1 2 4 3 Rys. 1. Menu główne programu Multisim VHDL Education Pasek menu zawiera następujące zakładki: File, Edit, View, Simulate, Synthesize, Options, Window, Help. Inne najczęściej uŝywane zakładki, tzn. Compile oraz New Module, zostały zgrupowane na pasku narzędziowym. Podstawowy szkielet projektu tworzy się w oknie modułów, które zawiera moduł programu i moduł symulacji. Ponadto w polu edycyjnym moŝna edytować wybrany kod źródłowy programu lub samodzielnie go napisać wykorzystując język VHDL. Dla uŝytkownika ułatwieniem jest rozróŝnianie przez program słów kluczowych (np. entity, port, end) stosowanych w języku VHDL, są one wyróŝnianie kolorem niebieskim. Pozostałe słowa wprowadzone przez uŝytkownika są identyfikatorami i są oznaczane kolorem czarnym. W celu umieszczenie komentarza wprowadza się dwie poziome kreski (--), a następnie treść, co zostanie wyróŝnione na zielono. Cały tekst w takim wierszu, aŝ do końca, jest komentarzem. Aby przeprowadzić symulację w oknie modułów zaznacza się, poprzez podświetlenie, jednostkę projektową (entity), która ma podlegać symulacji. W kolejnym kroku naleŝy wybrać z zakładki Simulate polecenie Load Selected. W przypadku prawidłowej kompilacji pojawi się okno, w którym naleŝy dokonać wyboru sygnałów i zmiennych. Dla nich zostaną przedstawione przebiegi czasowe. Po dokonaniu powyŝszych czynności i ich zatwierdzeniu na ekranie pokazuje się okno symulacji (rys. 2). Po wybraniu z paska menu (5 na rys. 2) zakładki Simulation, a następnie polecenia Go, bądź po wyborze ikony z czarnym trójkątem (w zielonym kole) na pasku narzędziowym (6), zostanie przeprowadzona symulacja. Na okno symulacji składa się wspomniany juŝ pasek menu i pasek narzędziowy. Polecenia dostępne w pasku narzędziowym to między innymi powiększenie i zmniejszenie rysunków przedstawiających przebiegi czasowe, start i określenie kroku symulacji. W oknie sygnałów (7) moŝna dodać lub usunąć sygnał lub zmienną. Przebiegi czasowe moŝna zaobserwować w oknie (10). Okno to zawiera skalę czasową, ponadto pod przebiegami wyświetlane są wartości sygnałów. Ułatwia to weryfikowanie projektu i sprawia, Ŝe wszystko dla projektanta jest czytelne. Efekty symulacji moŝna obserwować w polu (8), a proces kompilacji kodu w oknie (9). Gdy podczas próby kompilacji wystąpią błędy pojawia się komunikat, który informuję uŝytkownika o ilości błędów, jak równieŝ o miejscu ich wystąpienia (podany zostaje numer błędnej linijki w kodzie programu).

- 123-5 6 7 10 8 9 Rys. 2. Okno symulacji 3. ZASADY TWORZENIA PROJEKTÓW Multisim VHDL Education oferuję gotowe narzędzia do stworzenia projektu. Przy kaŝdej próbie utworzenia nowego projektu program daje moŝliwość wyboru. UŜytkownik musi podjąć decyzję, czy chce korzystać z kreatora czy tylko chce utworzyć puste okno modułu (Create Blank Module). Dostępne dla uŝytkownika kreatory to Module Wizard i Test Bench Wizard. Po wybraniu Module Wizard pojawia się pytanie o podstawowe informacje, dzięki którym stworzony zostanie projekt. W polu Entity name naleŝy wpisać identyfikator jednostki projektowej, a w polu Architecture name nazwę trzonu architektury. Ponadto w Port Name naleŝy wpisać sygnały wejścia / wyjścia wykorzystywane w projekcie. Tryb portu (Mode) wybiera się z rozwijanego menu. Dostępnych jest pięć typów: in, out, inout, buffer, linkage. Oprócz podania nazwy portu i trybu konieczne jest równieŝ zadeklarowanie typu danych. W przypadku wyboru standardu IEEE dostępne są typy: std_logic, std_ulogic, std_logic_- vector i std_ulogic_vector. Wyboru dokonuje się zaznaczając opcję Use IEEE 1164 standard logic w oknie kreatora. W przypadku nie zaznaczenia tej opcji dostępne będą typy: boolean, bit, bit_vector i integer. Po wypełnieniu wszystkich pól i naciśnięciu przycisku Add Port zadeklarowany zostanie port. Aby stworzyć nowy moduł naleŝy wybrać polecenie Create. Po zapisaniu utworzony zostaje plik z rozszerzeniem vhd, który widoczny jest w oknie modułów. W stworzonym kodzie programu naleŝy zamienić tymczasowe sygnały CLOCK i RESET, utworzone przez kreator, na zadeklarowane wcześniej podczas tworzenia projektu (np. na Clk, Reset). Kolejnym krokiem niezbędnym do stworzenia projektu jest przeprowadzenie kompilacji, co uzyskujemy po wyborze zakładki Simulate, a następnie polecenia Compile Selected. JeŜeli nie wystąpią błędy w kodzie źródłowym, to naleŝy przebudować składnię programu uŝywając polecenia File / Rebuild Hierarchy. Utworzona zostanie w ten sposób podstawowa struktura programu. Po przygotowaniu pliku z opisem programu naleŝy przejść do kreatora Test Bench Wizard. Przy jego pomocy przygotowuje się kod źródłowy programu odpowiedzialny za przebieg symulacji. Utworzony wcześniej plik z programem widoczny jest w oknie modułów. Następnie naleŝy plik zaznaczyć, poprzez podświetlenie, i wybrać kreator Test Bench Wizard. Wówczas teŝ zostanie otwarte nowe okno, w którym będą juŝ uzupełnione pola Entity name i Architecture name. Zadaniem uŝytkownika jest uzupełnienie pola Port declaration zmiennymi, takimi samymi danymi jak w programie głównym. Po naciśnięciu przycisku Create pojawi się pytanie o miejsce zapisu i nazwę programu. Utworzony plik posiada

- 124 - rozszerzenie vhd i jest równieŝ widoczny w oknie modułów. Ponadto w polu edycyjnym (4) naleŝy określić cykl zegara (Clock) oraz parametry symulacji (Stimulus). Po wykonaniu powyŝszych czynności naleŝy przejść do kompilacji i przebudowy składni programu. Tak przygotowany, za pomocą kreatorów, program nadaje się do przeprowadzenia symulacji. 4. PRZYKŁADOWE SYMULACJE UKŁADÓW CYFROWYCH Jako przykład symulacji zaprezentowany zostanie licznik rewersyjny modulo 13 [5]. Przy pomocy sygnału podanego na wejście Dir dokonuje się wyboru kierunku zliczania. Stan wysoki to zliczanie w górę, stan niski w dół. Licznik wyposaŝony jest równieŝ w wejście kasowania Rst, przy czym stanem aktywnym jest jedynka logiczna. Zawarta w kodzie programu zmienna Q wymaga zastosowania biblioteki NUMERIC_STD. Nie stosując tej biblioteki moŝna się narazić na fałszywe przypisywanie wartości do zmiennej Q, poniewaŝ rozmiar tego sygnału oraz łańcucha, z którym jest porównywany, są róŝne. Przy tworzeniu projektu w Multisim VHDL za pomocą kreatorów domyślnie dopisywana jest do kodu wspomniana biblioteka NUMERIC_STD. Na rysunku 3 przedstawiono symbol graficzny licznika modulo 13 i odpowiadający mu graf przejść. Rys. 3. Symbol graficzny licznika modulo 13 i jego graf przejść Przebieg symulacji rozpoczyna się od określenia parametrów początkowych. Dla kierunku zliczania w przód na wejście Dir podajemy stan wysoki. Początkowo na wejście Rst podano jedynkę logiczną, licznik został więc wyzerowany. Następnie po 35 ns od początku pracy na wejście Rst podano stan niski, co zapoczątkowało zliczanie. Prawidłowość działania układu kasującego zaobserwowano między 135 ns a 175 ns. Zmiany kierunku liczenia dokonano w 335 ns procesu symulacji. PoniŜej zamieszczono fragment kodu źródłowego dla kierunku zliczania w górę oraz opis pracy zegara. Przebiegi czasowe licznika zostały przedstawione na rysunku 4. variable Q: unsigned (3 downto 0); begin if Dir = '1' then if Rst = '1' then Q:= "0000"; elsif rising_edge(clk) then Q:=Q+"0001"; if Q = 13 then Q:="0000"; end if; end if; end if; -- zmienna Q odpowiedzialna za etapy licznika -- kierunek zliczania "w gore" -- kasowanie stanu licznika -- zmiany reagujące na zbocze rosnące -- opis pracy zegara Clock: process begin Clock_cycle <=Clock_cycle +1; Clk<='1';

- 125 - wait for 10 ns; Clk<='0'; wait for 10 ns; end process; Rys. 4. Przebiegi czasowe licznika modulo 13 Za pomocą Multisim VHDL moŝna zaimplementować róŝne układy cyfrowe. Proste komendy opisujące bramki logiczne ułatwiają budowę projektu. W zaprezentowanym, w dalszej części artykułu, projekcie przedstawiono pracę sześciu bramek (2 x NOT, AND, NAND, OR, ExOR) (rys.5). Opis podstawowych funkcji logicznych jest zgodny z językiem VHDL, a zastosowane zmienne są typu BIT. Przebieg symulacji wykonano dla wszystkich moŝliwych przypadków jakie mogą przybrać wejścia X i Y. Cykl zmian zmiennych wejściowych zdefiniowano na 50 ns, przyporządkowując zmiennej PERIOD zadeklarowany czas. Zakończenie procesu symulacji sygnalizowane jest stanem wysokim na wyjściu koniec. Przebieg symulacji został przedstawiony na rysunku 6. Not X Y X Not Y And Nand Or ExOr Rys. 5. Schemat logiczny projektu bramek architecture behavioral of Bramki is begin -- opisy bramek InvX <= not X; -- negacja X InvY <= not Y; -- negacja Y Sand <= X and Y; -- koniunkcja X i Y Snand <= X nand Y; -- negacja koniunkcji X i Y Sum <= X or Y; -- alternatywa X i Y Smod <= X xor Y; -- suma modulo2 X i Y end ;

- 126-5. PODSUMOWANIE Rys. 6. Przebiegi czasowe programu Bramki Przedstawiony program Multisim VHDL Education, wchodzący w skład pakietu Multsim 2001, jest odpowiednim narzędziem dla początkujących projektantów. Rozbudowane kreatory pozwalają stworzyć projekt od podstaw. Komentarze, umieszczone w programie źródłowym, ułatwiają analizę i korektę projektu. Czytelny edytor kodów wyposaŝony jest w funkcję rozróŝniania (za pomocą kolorów) komend języka VHDL od pozostałych słów umieszczonych w programie. Okno z przebiegami symulacji, wyposaŝone w linijkę, ułatwia podgląd wartości zmiennych. Ponadto Multisim VHDL posiada wiele rozbudowanych funkcji, poczynając od zaawansowanych kreatorów do prostych opcji symulacyjnych. Pakiet posiada równieŝ wady, do których naleŝy zaliczyć błąd przy tworzeniu projektu w kreatorach. Polega on na tym, Ŝe zamiast trybu portu proponowanego przez kreatora, umieszczany jest tryb inny niŝ Ŝądany. Ponadto zmiana czasu przeprowadzanej aktualnie symulacji na mniejszy wymaga ponownego uruchomienia programu symulacyjnego, co sprowadza się do niepotrzebnego restartu. Kolejna wada dotyczy wydruku przebiegów dla standardowych parametrów, który rozciąga się aŝ do 6 stron formatu A4. 6. BIBLIOGRAFIA 1. Skahill K. Język VHDL, projektowanie programowalnych układów cyfrowych, WN, Warszawa 2001 2. http://www.electronicsworkbench.com 3. Zwoliński M. Projektowanie układów cyfrowych z wykorzystaniem języka VHDL, WKiŁ, Warszawa 2002 4. VHDL Design, Simulation and Debug, Multisim 2001 User Quide, dokumentacja Electronics Workbench 5. śyliński Ł. Projektowanie układów cyfrowych z wykorzystaniem pakietu Multisim 2001 oraz języka opisu sprzętu, przygotowywana praca dyplomowa, AM Gdynia DESIGNING DIGITAL PROJECTS USING SOFTWARE MULTISIM 2001 AND HARDWARE DESCRIPTION LANGUAGE VHDL In the paper software Multisim VHDL Education is presented. Multisim VHDL is an advanced software product intended to help and learn users to use VHDL for digital design projects. Multisim VHDL includes an integrated simulator, source file editor, hierarchy browser and other resources for VHDL users. In article are discussed possibilities of building projects using Multisim VHDL and example and simulations are presented.