LABORATORIUM PODSTAWY ELEKTRONIKI PRZERZUTNIKI

Wielkość: px
Rozpocząć pokaz od strony:

Download "LABORATORIUM PODSTAWY ELEKTRONIKI PRZERZUTNIKI"

Transkrypt

1 LABORATORIUM PODSTAWY ELETRONII PRZERZUTNII

2 el ćwiczenia Zapoznanie się z budową i zasada działania przerzutników synchronicznych jak i asynchronicznych. Poznanie przerzutników asynchronicznych odniesione jest do przerzutników zrealizowanych na bramkach NAND i NOR, natomiast synchronicznych do przerzutników typu, oraz D. Program ćwiczenia ma zostać zrealizowany na praktycznym zestawieniu układów przerzutników do wymaganych zastosowań. Przebieg ćwiczenia: 1. Zapoznanie się z zasada działania przerzutników asynchronicznych RS wykonanych jako układ bramek NAND oraz NOR. Następnie przerzutników synchronicznych D,, T występujących na danym stanowisku laboratoryjnym, korzystając z instrukcji 2. Przeprowadzić analizę stanów wyjściowych dla kaŝdego przerzutnika asynchronicznego. 3. Ustalić odpowiedni poziom napięcia wejściowego oraz częstotliwość do prawidłowej obserwacji sygnałów wyjściowych dla przerzutnika synchronicznego 4. Przeprowadzić analizę stanów wyjściowych dla kaŝdego przerzutnika synchronicznego 5. Zaprojektować i wykonać licznik modulo 2, oraz modulo 3 na przerzutnikach. Liczniki maja zliczać w przód i tył. Przeprowadzić analizę stanów wyjściowych dla kaŝdego z liczników 6. Zaprojektować i wykonać przerzutnik D zbodowany na przerzutnikach. Przeprowadzić analizę stanów wyjściowych dla kaŝdego z liczników 7. Wyniki ćwiczenia umieścić w sprawozdaniu 2

3 Wiadomości podstawowe: 1. Przerzutniki synchroniczne Przerzutniki zrobione z dwóch bramek, takie jak na rysunku 1, jest znany jako przerzutnik RS (ang. set-reset) albo asynchroniczny (ang. jam loaded). Wymuszenie jednego lub drugiego stanu takiego przerzutnika jest moŝliwe w dowolnej chwili przez doprowadzenie do właściwego wejścia odpowiedniego sygnału wejściowego. Stosuje się je do usuwania drgań zestyków i w wielu innych układach. ednak najczęściej uŝywane przerzutniki wyglądają nieco inaczej. Zamiast dwóch wejść asynchronicznych mają dwa wejścia "danych" i jedno wejście "zegarowe". Stan wyjścia moŝe się zmieniać lub pozostać niezmieniony; zaleŝy to od stanu linii wejściowych (danych) tylko w czasie trwania impulsu zegara. R S Rys. 1. Schemat najprostszego przerzutnika taktowanego (synchronicznego). Rys. 2. Przerzutnik taktowany. est to po prostu zwykły przerzutnik RS i para bramek (sterowanych przebiegiem taktującym), które odblokowują wejścia zerujące i ustawiające. Łatwo sprawdzić, Ŝe jego tablicą prawdy jest: S R n n nieokreślony 3

4 gdzie n+1 oznacza stan wyjścia po przejściu impulsu zegara, a n - stan wyjścia przed pojawieniem się impulsu zegara. Podstawowa róŝnica między tym i poprzednimi przerzutnikami polega na tym, Ŝe R i S mają teraz charakter wejść danych. Stan tych wejść w czasie trwania impulsu zegara wymusza zachowanie się wyjścia. Przerzutnik ten ma jednak jedną niewygodną właściwość. Stan wyjścia moŝe zmieniać się w odpowiedzi na stan wejść, gdy sygnał taktujący jest w stanie wysokim. W tym sensie jest to w dalszym ciągu przerzutnik asynchroniczny (znany jest pod nazwą "przezroczystego zatrzasku", ang. transparent latch, poniewaŝ jego wyjście "widzi" wejście, gdy sygnał taktujący jest w stanie wysokim) 5). Prawdziwie poŝyteczne przerzutniki to układy o nieco innych strukturach: przerzutnik dwuzboczowy (ang. master-slave flip flop) i przerzutnik wyzwalany zboczem (ang. edgetriggered flip-flop). 2. Przerzutniki dwuzboczowe i wyzwalane zboczem Są to najbardziej popularne przerzutniki. Dane ustalone w liniach wejściowych tuŝ przed zmianą stanu zegara, czyli jego "zboczem", wyznaczają stan wyjść ustalający się po przejściu impulsu taktującego. Z uwagi na to, Ŝe są powszechnie dostępne w postaci tanich układów scalonych, nie konstruuje się ich nigdy samodzielnie. Warto jednak zaznajomić się z ich strukturą wewnętrzną, co pomoŝe zrozumieć zasadę ich działania. Na rys. 3a i 3b przedstawiono odpowiednie schematy ideowe. Rys. 3a. 4

5 Rys. 3b. - Przerzutniki D wyzwalane zboczem Oba układy to przerzutniki typu D. Stan wejścia D pojawi się na wyjściu po zakończeniu impulsu zegara. Zasada działania przerzutnika dwuzboczowego będzie prawdopodobnie łatwiejsza do zrozumienia. Oto jak on działa. iedy sygnał taktujący jest w stanie wysokim, bramki 1 i 2 są odblokowane, wymuszając na pierwszym przerzutniku - wykonanym z bramek 3 i 4 i nazywanym głównym (ang. master) - taki sam stan, jaki panuje na wejściu D: M = D, M' = D'. Bramki 5 i 6 są zablokowane, więc drugi przerzutnik - wykonany z bramek 6 i 7 i nazywany pomocniczym (ang. slave) - zachowuje poprzedni stan. iedy na wejściu zegarowym pojawia się opadające zbocze impulsu, wejścia przerzutnika głównego są odłączone od wejścia D, jednocześnie wejścia przerzutnika pomocniczego są dołączone do wyjść przerzutnika głównego. Wobec tego przerzutnik główny przekazuje swój stan przerzutnikowi pomocniczemu. Na wyjściu nie zachodzą Ŝadne inne zmiany, poniewaŝ pierwszy przerzutnik został juŝ "zatrzaśnięty". Przy następnym narastającym zboczu zegara przerzutnik pomocniczy zostanie zablokowany i zachowa swój stan, podczas gdy przerzutnik główny będzie ponownie reagował na stan wejścia. Przerzutnik wyzwalany zboczem zachowuje się na zewnątrz tak samo, ale jego wewnętrzne działanie jest nieco inne. Analiza pracy takiego przerzutnika nie jest trudna. Schemat przedstawiony na rysunku 3b pokazuje uproszczoną strukturę wewnętrzną przerzutnika D wyzwalanego narastającym zboczem impulsu zegara, którego dwa egzemplarze zamknięte w jednej obudowie tworzą popularny układ scalony oznaczany symbolem '74. Wcześniej omówiony przerzutnik typu master-slave przekazuje informację na wyjście zboczem opadającym. Istnieją przerzutniki wyzwalane zarówno zboczem dodatnim, jak i ujemnym. o więcej, większość przerzutników ma takŝe wejścia asynchroniczne typu SET (ustawiające) i LEAR (zerujące). Zerowanie i ustawianie moŝe być wykonywane poziomem niskim lub wysokim, zaleŝnie od typu przerzutnika. ilka popularnych przerzutników pokazano na rysunku 4. 5

6 Rys Przerzutnik D i Mały klin oznacza "wyzwalany zboczem", małe kółeczko oznacza "negację" lub uzupełnienie. Tak, więc układ '74 jest podwójnym przerzutnikiem typu D, wyzwalanym zboczem narastającym, z wejściami asynchronicznymi SET i LEAR aktywnymi poziomem niskim. Układ 4013 (MOS) jest podwójnym przerzutnikiem typu D, wyzwalanym zboczem narastającym, z wejściami asynchronicznymi SET i LEAR aktywnymi poziomem wysokim. Układ '112 jest podwójnym przerzutnikiem typu, w którym przekazywanie danych na wyjście odbywa się na zboczu opadającym i który ma wejścia asynchroniczne SET i LEAR aktywne poziomem niskim. Przerzutnik. Zasada działania przerzutnika jest podobna do zasady działania przerzutnika D z tą róŝnicą, Ŝe ma on dwa wejścia danych. Oto tablica prawdy: n n ' n Wynika z niej, Ŝe jeŝeli stany na wejściach i są róŝne, to przy następnym aktywnym zboczu zegara wyjście znajdzie się w stanie określonym, przez. eŝeli i są w stanie niskim, to stan wyjścia się nie zmieni. eŝeli i są w stanie wysokim, to stan wyjścia będzie się zmieniał na przeciwny przy kaŝdym kolejnym impulsie zegara 3. Przerzutniki Przerzutnik jest to najprostszy układ sekwencyjny. Przerzutniki dzielimy na synchroniczne tzn. takie, w których występuje, (co najmniej jeden) wyróŝniony sygnał zwany przebiegiem zegarowym, taktującym lub synchronizującym. Przebieg ten wyznacza cykl pracy układu, a jego okres stanowi umowną jednostkę czasu. Sygnał zegarowy określa chwile, w których stany wejść oddziałują na układ. hwile te są wyznaczane przez zbocze dodatnie bądź ujemne przebiegu taktującego, dlatego mówimy o synchronizacji układu zboczem narastającym lub opadającym. W chwilach tych stan innych wejść nie powinien się zmieniać. Odcinek czasu pomiędzy dwoma kolejnymi zboczami aktywnymi sygnału zegarowego jest nazywany okresem. Dla oznaczania sposobu wyzwalania danego przerzutnika stosuje się symbole przedstawione na poniŝszym rysunku: 6

7 a) wyzwalanie zboczem dodatnim. b) wyzwalanie zboczem ujemnym. Są takŝe przerzutniki synchroniczne wyzwalane poziomem. Drugą grupę stanowią przerzutniki asynchroniczne, w których kaŝda zmiana stanu wejść układu oddziałuje na układ, powodując jego reakcję. Układy synchroniczne z racji oddziaływania wejść na wyjścia tylko w chwilach określonych przez sygnał zegarowy są układami bardziej odpornymi na zakłócenia niŝ układy asynchroniczne. Badany przez nas przerzutnik jest właśnie układem synchronicznym z racji posiadania wejścia taktującego. Reaguje więc on na informację tylko w obecności impulsu zegarowego, przy czym stan wejść informacyjnych powinien być wówczas juŝ ustalony i nie zmieniać się. aŝdy przerzutnik uŝywany w technice cyfrowej jest układem, o co najmniej dwóch wejściach i z reguły dwóch wyjściach. Wejścia mogą być: - zegarowe (inaczej: synchronizujące, wyzwalające). Wejście to oznaczane jest literą lub oznaczeniami:, L, P, L. (przy czym występuje ono tylko w przerzutnikach synchronicznych). - informacyjne. - programujące, przygotowujące. Wejścia programujące są wejściami asynchronicznymi i nadrzędnymi w stosunku do pozostałych wejść. Ich nadrzędność wraŝa się tym, Ŝe przy sterowaniu przerzutnika od strony pozostałych wejść o stanie przerzutnika decydują wyłącznie wejścia programujące. Działanie przerzutnika w naszym przypadku opisaliśmy za pomocą tzw. tablicy wzbudzeń, która określa, jaki powinien być stan wejść informacyjnych, aby przerzutnik przeszedł z jednego stanu do drugiego Przerzutnik synchroniczny typu. Symbol graficzny oraz tablicę wzbudzeń przedstawia rysunek:

8 Przerzutnik ma dwa wejścia informacyjne oznaczone literami i oraz wejście zegarowe. Wejście = 1 ustawia przerzutnik w stan 1, a wejście = 1 ustawia przerzutnik w stan 0. Dla stanu = 0 i = 0 przerzutnik ten pamięta stan poprzedni. Podanie stanu = 1 i = 1 sprawia, przerzutnik zmienia swój stan na przeciwny w stosunku do poprzedniego. Wszystkie zmiany wyjść zachodzą w obecności aktywnego zbocza impulsu zegarowego podanego na wejście (dla oznaczenia na rys. jest to zbocze opadające). Działanie dynamiczne przerzutnika wyzwalanego opadającym zboczem impulsu zegarowego obrazuje przebieg zdjęty z wejść i wyjść układu Przerzutnik synchroniczny typu MS. Przerzutnik typu moŝe być synchronizowany zboczem ujemnym, dodatnim lub poziomem, a takŝe moŝe być przerzutnikiem dwutaktowym, co oznacza, Ŝe do ustawienia stanu przerzutnika są wymagane dwa kolejne zbocza impulsu zegarowego tzn. cały pojedynczy impuls prostokątny. Przerzutnik taki nazywamy przerzutnikiem kategorii Master Slave, w skrócie MS (master pol. Pan, slave - pol. sługa). Przerzutnik dwutaktowy (MS) działa w ten sposób, Ŝe w czasie pierwszego zbocza (narastającego) są próbkowane stany wejść i, drugie zbocze (opadające) powoduje zgodną z tablicą przejść zmianę stanu przerzutnika. W rezultacie zmianę stanu obserwujemy przy opadającym zboczu impulsu zegarowego i dlatego symbol graficzny takiego przerzutnika mówi nam, Ŝe jest to przerzutnik reagujący na opadające zbocze impulsu zegarowego. Ogólnie rzecz biorąc kolejność zboczy moŝe być odwrócona, czyli czytanie wejść moŝe być przy zboczu ujemnym, a zmiana stanu wyjść przy zboczu dodatnim. ak przedstawia poniŝszy rysunek, przerzutnik dwutaktowy składa się z dwu przerzutników połączonych kaskadowo. Pierwszy z nich nazywany jest Master (M), drugi Slave (S). 8

9 Schemat logiczny takiego rozwiązania: Dla zapewnienia poprawnej pracy przerzutnika sygnały na wejściach informacyjnych powinny być ustalone przez cały czas trwania impulsu zegarowego. Zmiana stanu wejść podczas aktywnego zbocza impulsu zegarowego moŝe spowodować niezgodne z tablicą przejść działanie przerzutnika. Przykładem scalonego przerzutnika typu MS moŝe być układ 7473 zawierający w swej strukturze dwa niezaleŝne przerzutniki z wyprowadzonym wejściem zerującym reagującym na stan niski (LR). GND LR LR 1 Vcc W układach cyfrowych zawierających przerzutniki naleŝy liczyć się z moŝliwością przypadkowego ustalenia stanu układu po włączeniu zasilania. Wobec tego na ogół wymaga się sprowadzenia układu do stanu początkowego przed rozpoczęciem pracy. Takie wstępne przygotowanie układu osiąga się za pomocą wejść zerujących wprowadzających wyjście przerzutnika w stan niski lub wejść ustawiających wprowadzających wyjście przerzutnika w stan wysoki na wyjściu, przy czym niedozwolona jest jednoczesna aktywacja obu tych wejść. 9

10 4. Dzielniki częstotliwości 4.1. Dzielniki częstotliwości przez 2 Wykorzystując właściwość przerzutnika, polegającą na zmianie stanu po kaŝdym impulsie zegara, moŝna łatwo wykonać układ dzielenia częstotliwości przez 2. Dwa przykłady takiego układu pokazano na rys. 5. Rys Przerzutniki w układach dzielników częstotliwości przez 2 Przerzutnik zmienia stan na przeciwny po kaŝdym impulsie zegara, gdy jego oba wejścia utrzymywane są w stanie wysokim. Tę samą funkcję spełnia równieŝ drugi układ, poniewaŝ do wejścia D przerzutnika jest doprowadzany sygnał z jego własnego wyjścia '. Wobec tego w momencie nadejścia impulsu zegara przerzutnik D widzi na wejściu negację swojego własnego stanu. W kaŝdym przypadku częstotliwość przebiegu wyjściowego jest dwukrotnie mniejsza od częstotliwości przebiegu wejściowego Dzielniki częstotliwości przez 2 n Łącząc kaskadowo kilka dzielników przez 2 (tzn. łącząc wyjście kaŝdego przerzutnika z wejściem zegarowym następnego przerzutnika) uzyskuje się układ dzielnika przez 2 n, czyli licznika binarnego. Na rysunku 6 pokazaliśmy 4-stopniowy licznik asynchroniczny z przeniesieniem szeregowym (ang. ripple counter) oraz jego przebiegi czasowe. 10

11 Rys Licznik 4-bitowy: a) schemat, b) przebiegi czasowe eŝeli wejścia zegarowe są sterowane sygnałami z wyjść, trzeba uŝyć przerzutników wyzwalanych zboczem opadającym (wskazują na to symbole negacji). Pokazany układ jest licznikiem zliczającym do 16, albo inaczej - dzielnikiem częstotliwości sygnału zegarowego przez 16. Sygnał wyjściowy ostatniego przerzutnika jest falą prostokątną o częstotliwości równej 1/16 częstotliwości wejściowego sygnału taktującego. Układ taki nazywa się licznikiem, poniewaŝ informacja obecna na czterech wyjściach, odczytywana jako 4-bitowa liczba binarna, przyjmuje w kolejnych taktach zegara kolejne wartości binarne od 0 do 15, ulegając za kaŝdym razem zwiększeniu o jeden. Ilustrują ten fakt pokazane na rysunku 6 przebiegi czasowe. W ich opisie skrótami MSB i LSB oznaczono odpowiednio najbardziej i najmniej znaczący bit licznika. Wygięte strzałki wskazują uzaleŝnienia między sygnałami, co ułatwia zrozumienie zasady działania układu. Licznik jest tak poŝytecznym układem funkcjonalnym, tak Ŝe doczekał się wykonania w postaci scalonej w wielu wersjach, w tym jako licznik 4-bitowy, BD i wielocyfrowy. Łącząc kaskadowo wiele takich liczników i wyświetlając wynik zliczania na wyświetlaczu cyfrowym (np. wykorzystującym diody świecące) moŝna stosunkowo łatwo zbudować licznik zdarzeń. eŝeli ciąg impulsów będzie doprowadzany do wejścia takiego licznika dokładnie przez 1 sekundę, uzyskamy częstościomierz, który wyświetla częstotliwość (liczbę okresów na sekundę), zliczając naprawdę liczbę okresów w ciągu jednej sekundy. W rzeczywistości istnieją juŝ scalone jednoukładowe częstościomierze, zawierające generator wzorcowy, licznik, układy sterowania i wyświetlania.. W praktyce prosta metoda kaskadowego łączenia liczników, polegająca na łączeniu wyjść kaŝdego przerzutnika z wejściem zegarowym następnego przerzutnika, prowadzi do pojawienia się kilku interesujących problemów związanych z kumulującymi się opóźnieniami, które powstają w czasie "przenoszenia się" sygnału przez łańcuch przerzutników. Liczniki "synchroniczne, (w których wszystkie wejścia zegarowe widzą ten sam sygnał taktujący) są zwykle lepsze. Wobec tego zajmijmy się teraz systemami taktowanymi synchronicznie. 11

12 Układy badane. Przerzutnik typu MS z wejściem zerującym i ustawiającym. Symbol przerzutnika i tablica przejść. R S R S R S R S R S = Ustaliliśmy, Ŝe przerzutnik reaguje na opadające zbocze impulsu zegarowego, na co wskazuje symbol. 12

Statyczne badanie przerzutników - ćwiczenie 3

Statyczne badanie przerzutników - ćwiczenie 3 Statyczne badanie przerzutników - ćwiczenie 3. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz NO. 2. Wykaz

Bardziej szczegółowo

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2 tatyczne i dynamiczne badanie przerzutników - ćwiczenie 2. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz

Bardziej szczegółowo

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia. Kilka informacji o przerzutnikach Jaki układ elektroniczny nazywa się przerzutnikiem? Przerzutnikiem bistabilnym jest nazywany układ elektroniczny, charakteryzujący się istnieniem dwóch stanów wyróżnionych

Bardziej szczegółowo

LICZNIKI Liczniki scalone serii 749x

LICZNIKI Liczniki scalone serii 749x LABOATOIUM PODSTAWY ELEKTONIKI LICZNIKI Liczniki scalone serii 749x Cel ćwiczenia Zapoznanie się z budową i zasadą działania liczników synchronicznych i asynchronicznych. Poznanie liczników dodających

Bardziej szczegółowo

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB Ćw. 9 Przerzutniki 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi elementami sekwencyjnymi, czyli przerzutnikami. Zostanie przedstawiona zasada działania przerzutników oraz sposoby

Bardziej szczegółowo

Układy cyfrowe (logiczne)

Układy cyfrowe (logiczne) Układy cyfrowe (logiczne) 1.1. Przerzutniki I Przerzutnik to najprostszy (elementarny) cyfrowy układ sekwencyjny, który w zaleŝności od sekwencji zmian sygnałów wejściowych przyjmować moŝe i utrzymywać

Bardziej szczegółowo

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D AGH Katedra Elektroniki Podstawy Elektroniki dla Elektrotechniki Liczniki synchroniczne na przerzutnikach typu D Ćwiczenie 7 Instrukcja do ćwiczeń symulacyjnych 2016 r. 1 1. Wstęp Celem ćwiczenia jest

Bardziej szczegółowo

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2 Cyfrowe układy sekwencyjne 5 grudnia 2013 Wojciech Kucewicz 2 Układy sekwencyjne Układy sekwencyjne to takie układy logiczne, których stan wyjść zależy nie tylko od aktualnego stanu wejść, lecz również

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKI. Jakub Kaźmierczak. 2.1 Sekwencyjne układy pamiętające

LABORATORIUM ELEKTRONIKI. Jakub Kaźmierczak. 2.1 Sekwencyjne układy pamiętające 2 Cyfrowe układy sekwencyjne Cel ćwiczenia LABORATORIUM ELEKTRONIKI Celem ćwiczenia jest zapoznanie się z cyfrowymi elementami pamiętającymi, budową i zasada działania podstawowych przerzutników oraz liczników

Bardziej szczegółowo

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania.

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania. Badanie liczników asynchronicznych - Ćwiczenie 4 1. el ćwiczenia Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich

Bardziej szczegółowo

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu Temat: Sprawdzenie poprawności działania przerzutników. Wstęp: Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu cyfrowego, przeznaczonego do przechowywania i ewentualnego

Bardziej szczegółowo

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Cyfrowe Elementy Automatyki Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów,

Bardziej szczegółowo

UKŁADY CYFROWE. Układ kombinacyjny

UKŁADY CYFROWE. Układ kombinacyjny UKŁADY CYFROWE Układ kombinacyjny Układów kombinacyjnych są bramki. Jedną z cech układów kombinacyjnych jest możliwość przedstawienia ich działania (opisu) w postaci tabeli prawdy. Tabela prawdy podaje

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek Architektura systemów komputerowych Poziom układów logicznych. Układy sekwencyjne Cezary Bolek Katedra Informatyki Plan wykładu Układy sekwencyjne Synchroniczność, asynchroniczność Zatrzaski Przerzutniki

Bardziej szczegółowo

Proste układy sekwencyjne

Proste układy sekwencyjne Proste układy sekwencyjne Układy sekwencyjne to takie w których niektóre wejścia są sterowany przez wyjściaukładu( zawierają sprzężenie zwrotne ). Układy sekwencyjne muszą zawierać elementy pamiętające

Bardziej szczegółowo

Cyfrowe układy scalone c.d. funkcje

Cyfrowe układy scalone c.d. funkcje Cyfrowe układy scalone c.d. funkcje Ryszard J. Barczyński, 206 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Kombinacyjne układy cyfrowe

Bardziej szczegółowo

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp:

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Licznik elektroniczny - układ cyfrowy, którego zadaniem jest zliczanie wystąpień sygnału zegarowego. Licznik złożony

Bardziej szczegółowo

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1 Część 3 Układy sekwencyjne Układy sekwencyjne i układy iteracyjne - grafy stanów 18.11.2017 TCiM Wydział EAIiIB Katedra EiASPE 1 Układ cyfrowy - przypomnienie Podstawowe informacje x 1 x 2 Układ cyfrowy

Bardziej szczegółowo

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY WFiIS LABORATORIUM Z ELEKTRONIKI Imię i nazwisko: 1. 2. TEMAT: ROK GRUPA ZESPÓŁ NR ĆWICZENIA Data wykonania: Data oddania: Zwrot do poprawy: Data oddania: Data zliczenia: OCENA CEL ĆWICZENIA Ćwiczenie

Bardziej szczegółowo

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające Zapamiętywanie wartości wybranych zmiennych binarnych, jak również sekwencji tych wartości odbywa się w układach

Bardziej szczegółowo

U 2 B 1 C 1 =10nF. C 2 =10nF

U 2 B 1 C 1 =10nF. C 2 =10nF Dynamiczne badanie przerzutników - Ćwiczenie 3. el ćwiczenia Zapoznanie się z budową i działaniem przerzutnika astabilnego (multiwibratora) wykonanego w technice TTL oraz zapoznanie się z działaniem przerzutnika

Bardziej szczegółowo

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Praca laboratoryjna 2 TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH Cel pracy poznanie zasad funkcjonowania przerzutników różnych typów w oparciu o różne rozwiązania układowe. Poznanie sposobów

Bardziej szczegółowo

LEKCJA. TEMAT: Funktory logiczne.

LEKCJA. TEMAT: Funktory logiczne. TEMAT: Funktory logiczne. LEKCJA 1. Bramką logiczną (funktorem) nazywa się układ elektroniczny realizujący funkcje logiczne jednej lub wielu zmiennych. Sygnały wejściowe i wyjściowe bramki przyjmują wartość

Bardziej szczegółowo

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak Bibliografia: Urządzenia techniki komputerowej, K. Wojtuszkiewicz http://pl.wikipedia.org/ Układem sekwencyjnym nazywamy układ

Bardziej szczegółowo

Podstawy Techniki Cyfrowej Liczniki scalone

Podstawy Techniki Cyfrowej Liczniki scalone Podstawy Techniki Cyfrowej Liczniki scalone Liczniki scalone są budowane zarówno jako asynchroniczne (szeregowe) lub jako synchroniczne (równoległe). W liczniku równoległym sygnał zegarowy jest doprowadzony

Bardziej szczegółowo

Ćw. 7: Układy sekwencyjne

Ćw. 7: Układy sekwencyjne Ćw. 7: Układy sekwencyjne Wstęp Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną następujące układy

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i Rys. 9.1.

Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i Rys. 9.1. Ćwiczenie 8 Liczniki zliczające, kody BCD, 8421, 2421 Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i 2421. Wstęp teoretyczny. Przerzutniki

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

Układy sekwencyjne przerzutniki 2/18. Przerzutnikiem nazywamy elementarny układ sekwencyjny, wyposaŝony w n wejść informacyjnych (x 1.

Układy sekwencyjne przerzutniki 2/18. Przerzutnikiem nazywamy elementarny układ sekwencyjny, wyposaŝony w n wejść informacyjnych (x 1. Przerzutniki Układy sekwencyjne przerzutniki 2/18 Pojęcie przerzutnika Przerzutnikiem nazywamy elementarny układ sekwencyjny, wyposaŝony w n wejść informacyjnych (x 1... x n ), 1-bitową pamięć oraz 1 wyjście

Bardziej szczegółowo

Podstawowe układy cyfrowe

Podstawowe układy cyfrowe ELEKTRONIKA CYFROWA SPRAWOZDANIE NR 4 Podstawowe układy cyfrowe Grupa 6 Prowadzący: Roman Płaneta Aleksandra Gierut CEL ĆWICZENIA Celem ćwiczenia jest zapoznanie się z podstawowymi bramkami logicznymi,

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Schemat ogólny X Y Układ kombinacyjny S Z Pamięć Zegar Działanie układu Zmiany wartości wektora S możliwe tylko w dyskretnych chwilach czasowych

Bardziej szczegółowo

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur Piotr Fita Elektronika cyfrowa i analogowa Układy analogowe - przetwarzanie sygnałów, których wartości zmieniają się w sposób ciągły w pewnym zakresie

Bardziej szczegółowo

LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY

LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY Cel ćwiczenia Zapoznanie się z budową i zasadą działania rejestrów cyfrowych wykonanych w ramach TTL. Zestawienie przyrządów i połączenie rejestru by otrzymać

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

Krótkie przypomnienie

Krótkie przypomnienie Krótkie przypomnienie Prawa de Morgana: Kod Gray'a A+ B= Ā B AB= Ā + B Układ kombinacyjne: Tablicy prawdy Symbolu graficznego Równania Boole a NOR Negative-AND w.11, p.1 XOR Układy arytmetyczne Cyfrowe

Bardziej szczegółowo

Systemy cyfrowe z podstawami elektroniki i miernictwa Wyższa Szkoła Zarządzania i Bankowości w Krakowie Informatyka II rok studia dzienne

Systemy cyfrowe z podstawami elektroniki i miernictwa Wyższa Szkoła Zarządzania i Bankowości w Krakowie Informatyka II rok studia dzienne Systemy cyfrowe z podstawami elektroniki i miernictwa Wyższa Szkoła Zarządzania i Bankowości w Krakowie Informatyka II rok studia dzienne Ćwiczenie nr 4: Przerzutniki 1. Cel ćwiczenia Celem ćwiczenia jest

Bardziej szczegółowo

Układ elementarnej pamięci cyfrowej

Układ elementarnej pamięci cyfrowej Opis ćwiczenia Układ elementarnej pamięci cyfrowej Pod określeniem pamięć cyfrowa będziemy rozumieć układ, do którego moŝna wprowadzić i przez pewien czas w nim przechowywać ciąg liczb zero-jedynkowych.

Bardziej szczegółowo

Automatyzacja i robotyzacja procesów produkcyjnych

Automatyzacja i robotyzacja procesów produkcyjnych Automatyzacja i robotyzacja procesów produkcyjnych Instrukcja laboratoryjna Technika cyfrowa Opracował: mgr inż. Krzysztof Bodzek Cel ćwiczenia. Celem ćwiczenia jest zapoznanie studenta z zapisem liczb

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Przerzutniki Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 20 maja 2013 Przerzutnik synchroniczny Układ synchroniczny wyzwalany ustalonym

Bardziej szczegółowo

LICZNIKI PODZIAŁ I PARAMETRY

LICZNIKI PODZIAŁ I PARAMETRY LICZNIKI PODZIAŁ I PARAMETRY Licznik jest układem służącym do zliczania impulsów zerojedynkowych oraz zapamiętywania ich liczby. Zależnie od liczby n przerzutników wchodzących w skład licznika pojemność

Bardziej szczegółowo

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki Politechnika Wrocławska, Wydział PP 1. Cel ćwiczenia Zapoznanie z wybranymi cyfrowymi układami sekwencyjnymi. Poznanie właściwości, zasad działania i sposobów realizacji przerzutników oraz liczników. 2.

Bardziej szczegółowo

Ćwiczenie 27C. Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych

Ćwiczenie 27C. Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych Ćwiczenie 27C Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych Cel ćwiczenia Poznanie budowy i zasad działania oraz właściwości układów synchronicznych, aby zapewnić podstawy

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW POLITECHNIKA POZNAŃSKA FILIA W PILE LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW numer ćwiczenia: data wykonania ćwiczenia: data oddania sprawozdania: OCENA: 6 21.11.2002 28.11.2002 tytuł ćwiczenia: wykonawcy:

Bardziej szczegółowo

Projektowanie i badanie liczników synchronicznych i asynchronicznych

Projektowanie i badanie liczników synchronicznych i asynchronicznych Laboratorium Podstaw Techniki Cyfrowej dr Marek Siłuszyk mgr Arkadiusz Wysokiński Ćwiczenie 08 PTC Projektowanie i badanie liczników synchronicznych i asynchronicznych opr. tech. Mirosław Maś Uniwersytet

Bardziej szczegółowo

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań adanie układów średniej skali integracji - ćwiczenie 6. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi układami SSI (Średniej Skali Integracji). Przed wykonaniem ćwiczenia należy zapoznać

Bardziej szczegółowo

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji LABORATORIUM.

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji LABORATORIUM. AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI ELWIS Rev.1.0 1. Wprowadzenie Celem

Bardziej szczegółowo

Przerzutniki. Układy logiczne sekwencyjne odpowiedź zależy od stanu układu przed pobudzeniem

Przerzutniki. Układy logiczne sekwencyjne odpowiedź zależy od stanu układu przed pobudzeniem 2-3-29 Przerzutniki Układy logiczne sekwencyjne odpowiedź zależy od stanu układu przed pobudzeniem (dotychczas mówiliśmy o układach logicznych kombinatorycznych - stan wyjść określony jednoznacznie przez

Bardziej szczegółowo

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE Pracownia Automatyki Katedry Tworzyw Drzewnych Ćwiczenie 5 str. 1/16 ĆWICZENIE 5 CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE 1.CEL ĆWICZENIA: zapoznanie się z podstawowymi elementami cyfrowymi oraz z

Bardziej szczegółowo

PoniŜej zamieszczone są rysunki przedstawiane na wykładach z przedmiotu Peryferia Komputerowe. ELEKTRONICZNE UKŁADY CYFROWE

PoniŜej zamieszczone są rysunki przedstawiane na wykładach z przedmiotu Peryferia Komputerowe. ELEKTRONICZNE UKŁADY CYFROWE PoniŜej zamieszczone są rysunki przedstawiane na wykładach z przedmiotu Peryferia Komputerowe. ELEKTRONICZNE UKŁADY CYFROWE Podstawowymi bramkami logicznymi są układy stanowiące: - funktor typu AND (funkcja

Bardziej szczegółowo

Ćwiczenie 6. Przerzutniki bistabilne (Flip-Flop) Cel

Ćwiczenie 6. Przerzutniki bistabilne (Flip-Flop) Cel Ćwiczenie 6 Przerzutniki bistabilne (Flip-Flop) Cel Poznanie zasady działania i charakterystycznych właściwości różnych typów przerzutników bistabilnych. Wstęp teoretyczny. Przerzutniki Flip-flop (FF),

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja 0.1 29.10.2013 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące

Bardziej szczegółowo

Układy kombinacyjne - przypomnienie

Układy kombinacyjne - przypomnienie SWB - Układy sekwencyjne - wiadomości podstawowe - wykład 4 asz 1 Układy kombinacyjne - przypomnienie W układzie kombinacyjnym wyjście zależy tylko od wejść, SWB - Układy sekwencyjne - wiadomości podstawowe

Bardziej szczegółowo

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych.

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych. Ćwiczenie 9 Rejestry przesuwne i liczniki pierścieniowe. Cel. Poznanie właściwości i zasady działania rejestrów przesuwnych.. Poznanie właściwości i zasady działania liczników pierścieniowych. Wprowadzenie.

Bardziej szczegółowo

Przerzutniki RS i JK-MS lab. 04 Układy sekwencyjne cz. 1

Przerzutniki RS i JK-MS lab. 04 Układy sekwencyjne cz. 1 Przerzutniki RS i JK-MS lab. 04 Układy sekwencyjne cz. 1 PODSTAWY TECHNIKI MIKROPROCESOROWEJ 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA GÓRNICZO-HUTNICZA

Bardziej szczegółowo

Podstawy elektroniki cz. 2 Wykład 2

Podstawy elektroniki cz. 2 Wykład 2 Podstawy elektroniki cz. 2 Wykład 2 Elementarne prawa Trzy elementarne prawa 2 Prawo Ohma Stosunek natężenia prądu płynącego przez przewodnik do napięcia pomiędzy jego końcami jest stały R U I 3 Prawo

Bardziej szczegółowo

LICZNIKI. Liczniki asynchroniczne.

LICZNIKI. Liczniki asynchroniczne. LICZNIKI Liczniki asynchroniczne. Liczniki buduje się z przerzutników. Najprostszym licznikiem jest tzw. dwójka licząca. Łatwo ją otrzymać z przerzutnika D albo z przerzutnika JK. Na rys.1a został pokazany

Bardziej szczegółowo

WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. Są najprostszymi układami pamięciowymi.

WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. Są najprostszymi układami pamięciowymi. 72 WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. ą najprostszymi układami pamięciowymi. PZEZUTNIK WY zapamietanie skasowanie Przerzutmik zapamiętuje zmianę

Bardziej szczegółowo

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW

INSTYTUT CYBERNETYKI TECHNICZNEJ POLITECHNIKI WROCŁAWSKIEJ ZAKŁAD SZTUCZNEJ INTELIGENCJI I AUTOMATÓW e-version: dr inż. Tomasz apłon INTYTUT YBENETYI TEHNIZNE PLITEHNII WŁAWIE ZAŁA ZTUZNE INTELIGENI I AUTMATÓW Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 23 temat: UŁAY EWENYNE. EL ĆWIZENIA

Bardziej szczegółowo

Funkcje logiczne X = A B AND. K.M.Gawrylczyk /55

Funkcje logiczne X = A B AND. K.M.Gawrylczyk /55 Układy cyfrowe Funkcje logiczne AND A B X = A B... 2/55 Funkcje logiczne OR A B X = A + B NOT A A... 3/55 Twierdzenia algebry Boole a A + B = B + A A B = B A A + B + C = A + (B+C( B+C) ) = (A+B( A+B) )

Bardziej szczegółowo

Podział układów cyfrowych. rkijanka

Podział układów cyfrowych. rkijanka Podział układów cyfrowych rkijanka W zależności od przyjętego kryterium możemy wyróżnić kilka sposobów podziału układów cyfrowych. Poniżej podam dwa z nich związane ze sposobem funkcjonowania układów cyfrowych

Bardziej szczegółowo

Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie LABORATORIUM Teoria Automatów. Grupa ćwiczeniowa: Poniedziałek 8.

Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie LABORATORIUM Teoria Automatów. Grupa ćwiczeniowa: Poniedziałek 8. Akademia Górniczo-Hutnicza im. isława Staszica w Krakowie LABORATORIUM Teoria Automatów Temat ćwiczenia Przerzutniki L.p. Imię i nazwisko Grupa ćwiczeniowa: Poniedziałek 8.000 Ocena Podpis 1. 2. 3. 4.

Bardziej szczegółowo

PODSTAWY TEORII UKŁADÓW CYFROWYCH

PODSTAWY TEORII UKŁADÓW CYFROWYCH PODSTAWY TEORII UKŁADÓW CYFROWYCH UKŁADY KODUJĄCE Kodery Kodery Kodery służą do przedstawienia informacji z tylko jednego aktywnego wejścia na postać binarną. Ponieważ istnieje fizyczna możliwość jednoczesnej

Bardziej szczegółowo

Ćwiczenie D2 Przerzutniki. Wydział Fizyki UW

Ćwiczenie D2 Przerzutniki. Wydział Fizyki UW Wydział Fizyki UW Pracownia fizyczna i elektroniczna (w tym komputerowa) dla Inżynierii Nanostruktur (1100-1INZ27) oraz Energetyki i Chemii Jądrowej (1100-1ENFIZELEK2) Ćwiczenie 2 Przerzutniki Streszczenie

Bardziej szczegółowo

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO!

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO! ćwiczenie nr 7 str.1/1 ĆWICZENIE 7 Wprowadzenie do funkcji specjalnych sterownika LOGO! 1. CEL ĆWICZENIA: zapoznanie się z zaawansowanymi możliwościami mikroprocesorowych sterowników programowalnych na

Bardziej szczegółowo

Państwowa Wyższa Szkoła Zawodowa

Państwowa Wyższa Szkoła Zawodowa Państwowa Wyższa Szkoła Zawodowa w Legnicy Laboratorium Podstaw Elektroniki i Miernictwa Ćwiczenie nr 6 BADANIE UKŁADÓW SEKWENCYJNYCH A. Cel ćwiczenia. - Poznanie przeznaczenia i zasady działania przerzutnika

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak 3.12.2015 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące funkcje

Bardziej szczegółowo

ćwiczenie 203 Temat: Układy sekwencyjne 1. Cel ćwiczenia

ćwiczenie 203 Temat: Układy sekwencyjne 1. Cel ćwiczenia Opracował: mgr inż. Antoni terna ATEDA INFOMATYI TEHNIZNE Ćwiczenia laboratoryjne z Logiki Układów yfrowych ćwiczenie 203 Temat: Układy sekwencyjne 1. el ćwiczenia elem ćwiczenia jest zapoznanie się z

Bardziej szczegółowo

Odbiór i dekodowanie znaków ASCII za pomocą makiety cyfrowej. Znaki wysyłane przez komputer za pośrednictwem łącza RS-232.

Odbiór i dekodowanie znaków ASCII za pomocą makiety cyfrowej. Znaki wysyłane przez komputer za pośrednictwem łącza RS-232. Odbiór i dekodowanie znaków ASCII za pomocą makiety cyfrowej. Znaki wysyłane przez komputer za pośrednictwem łącza RS-232. Opracowanie: Andrzej Grodzki Do wysyłania znaków ASCII zastosujemy dostępny w

Bardziej szczegółowo

BADANIE PRZERZUTNIKÓW ASTABILNEGO, MONOSTABILNEGO I BISTABILNEGO

BADANIE PRZERZUTNIKÓW ASTABILNEGO, MONOSTABILNEGO I BISTABILNEGO Ćwiczenie 11 BADANIE PRZERZUTNIKÓW ASTABILNEGO, MONOSTABILNEGO I BISTABILNEGO 11.1 Cel ćwiczenia Celem ćwiczenia jest poznanie rodzajów, budowy i właściwości przerzutników astabilnych, monostabilnych oraz

Bardziej szczegółowo

Układy sekwencyjne - wiadomości podstawowe - wykład 4

Układy sekwencyjne - wiadomości podstawowe - wykład 4 SWB - Układy sekwencyjne - wiadomości podstawowe - wykład 4 asz 1 Układy sekwencyjne - wiadomości podstawowe - wykład 4 Adam Szmigielski aszmigie@pjwstk.edu.pl Laboratorium robotyki s09 SWB - Układy sekwencyjne

Bardziej szczegółowo

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 PODSTAWY TECHNIKI CYFROWEJ I MIKROPROCESOROWEJ EIP KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Podstawy Elektroniki dla Teleinformatyki. Generator relaksacyjny

Podstawy Elektroniki dla Teleinformatyki. Generator relaksacyjny AGH Katedra Elektroniki Podstawy Elektroniki dla Teleinformatyki 2014 r. Generator relaksacyjny Ćwiczenie 6 1. Wstęp Celem ćwiczenia jest zapoznanie się, poprzez badania symulacyjne, z działaniem generatorów

Bardziej szczegółowo

1. Definicja i przeznaczenie przerzutnika monostabilnego.

1. Definicja i przeznaczenie przerzutnika monostabilnego. 1. Definicja i przeznaczenie przerzutnika monostabilnego. Przerzutniki monostabline w odróżnieniu od przerzutników bistabilnych zapamiętują stan na z góry założony, ustalony przez konstruktora układu,

Bardziej szczegółowo

5/11/2011. Układy CMOS. Bramki logiczne o specjalnych cechach. τ ~ R*C

5/11/2011. Układy CMOS. Bramki logiczne o specjalnych cechach. τ ~ R*C 5//2 yfrowe układy scalone 2 PA 2 Bramki logiczne o specjalnych cechach U WY Bramka chmitta (7432): niestandardowa bramka cyfrowa charakterystyka zawiera pętlę histerezy H Zastosowania: L.9 V.7 V U wprowadzanie

Bardziej szczegółowo

4. Karta modułu Slave

4. Karta modułu Slave sygnały na magistralę. Można wyróżnić trzy typy układów scalonych takie jak bramki o otwartym kolektorze wyjściowym, bramki trójstanowe i bramki o przeciwsobnym wzmacniaczu wyjściowym. Obciążalność prądową

Bardziej szczegółowo

P.Rz. K.P.E. Laboratorium Elektroniki 2FD 2003/11/06 LICZNIKI CYFROWE

P.Rz. K.P.E. Laboratorium Elektroniki 2FD 2003/11/06 LICZNIKI CYFROWE P.Rz. K.P.E. Laboratorium Elektroniki 2F 2003/11/06 LIZNIKI YFROWE 1. WSTĘP elem ćwiczenia zilustrowanie zasad pracy wybranych realizacji układowych liczników oraz scalonych programowanych układów liczników.

Bardziej szczegółowo

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2 Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2 TECHNIKA MIKROPROCESOROWA 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA GÓRNICZO-HUTNICZA WWW.AGH.EDU.PL

Bardziej szczegółowo

WSTĘP DO ELEKTRONIKI

WSTĘP DO ELEKTRONIKI WSTĘP DO ELEKTRONIKI Część VII Układy cyfrowe Janusz Brzychczyk IF UJ Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów, którym przyporządkowywane

Bardziej szczegółowo

Na początek: do firmowych ustawień dodajemy sterowanie wyłącznikiem ściennym.

Na początek: do firmowych ustawień dodajemy sterowanie wyłącznikiem ściennym. Na początek: do firmowych ustawień dodajemy sterowanie wyłącznikiem ściennym. Mamy dwa rodzaje wyłączników ściennych: 1. Stabilny który zazwyczaj wszyscy używają do włączania oświetlenia. Nazywa się stabilny

Bardziej szczegółowo

Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5.

Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5. Pracownia elektryczna i elektroniczna. Elektronika cyfrowa. Ćwiczenie nr 5. Klasa III Opracuj projekt realizacji prac związanych z badaniem działania cyfrowych bloków arytmetycznych realizujących operacje

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TZ1A Politechnika iałostocka Wydział Elektryczny Katedra utomatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: EHNIK YFOW 2 Z1400 028 Ćwiczenie Nr 5 LIZNIKI WÓKOWE I ZIESIĘNE Opracował:

Bardziej szczegółowo

Ćwiczenie Technika Mikroprocesorowa komputery 001 Układy sekwencyjne cz. 1

Ćwiczenie Technika Mikroprocesorowa komputery 001 Układy sekwencyjne cz. 1 Ćwiczenie Technika Mikroprocesorowa komputery 001 Układy sekwencyjne cz. 1 TECHNIKA MIKROPROCESOROWA 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA

Bardziej szczegółowo

CHARAKTERYSTYKI BRAMEK CYFROWYCH TTL

CHARAKTERYSTYKI BRAMEK CYFROWYCH TTL CHARAKTERYSTYKI BRAMEK CYFROWYCH TTL. CEL ĆWICZENIA Celem ćwiczenia jest poznanie zasad działania, budowy i właściwości podstawowych funktorów logicznych wykonywanych w jednej z najbardziej rozpowszechnionych

Bardziej szczegółowo

LABORATORIUM. Technika Cyfrowa. Badanie Bramek Logicznych

LABORATORIUM. Technika Cyfrowa. Badanie Bramek Logicznych WYDZIAŁ ELEKTRYCZNY Katedra Inżynierii Systemów, Sygnałów i Elektroniki LABORATORIUM Technika Cyfrowa Badanie Bramek Logicznych Opracował: mgr inż. Andrzej Biedka 1 BADANIE FUNKCJI LOGICZNYCH 1.1 Korzystając

Bardziej szczegółowo

Sekwencyjne bloki funkcjonalne

Sekwencyjne bloki funkcjonalne ekwencyjne bloki funkcjonalne Układy sekwencyjne bloki funkcjonalne 2/28 ejestry - układy do przechowywania informacji, charakteryzujące się róŝnymi metodami jej zapisu lub odczytu a) b) we wy we... we

Bardziej szczegółowo

Podstawy Elektroniki dla Informatyki. Generator relaksacyjny

Podstawy Elektroniki dla Informatyki. Generator relaksacyjny AGH Katedra Elektroniki Podstawy Elektroniki dla Informatyki 2015 r. Generator relaksacyjny Ćwiczenie 5 1. Wstęp Celem ćwiczenia jest zapoznanie się, poprzez badania symulacyjne, z działaniem generatorów

Bardziej szczegółowo

Logiczne układy bistabilne przerzutniki.

Logiczne układy bistabilne przerzutniki. Przerzutniki spełniają rolę elementów pamięciowych: -przy pewnej kombinacji stanów na pewnych wejściach, niezależnie od stanów innych wejść, stany wyjściowe oraz nie ulegają zmianie; -przy innej określonej

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne

Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne Alfabety i litery Układ logiczny opisywany jest przez wektory, których wartości reprezentowane są przez ciągi kombinacji zerojedynkowych.

Bardziej szczegółowo

Ćw. 7 Przetworniki A/C i C/A

Ćw. 7 Przetworniki A/C i C/A Ćw. 7 Przetworniki A/C i C/A 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z zasadami przetwarzania sygnałów analogowych na cyfrowe i cyfrowych na analogowe poprzez zbadanie przetworników A/C i

Bardziej szczegółowo

TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA. Układy czasowe

TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA. Układy czasowe LABORATORIUM TECHNIKA CYFROWA ELEKTRONIKA ANALOGOWA I CYFROWA Układy czasowe Opracował: Tomasz Miłosławski Wymagania, znajomość zagadnień: 1. Parametry impulsu elektrycznego i metody ich pomiarów. 2. Bramkowe

Bardziej szczegółowo

Laboratorium przedmiotu Technika Cyfrowa

Laboratorium przedmiotu Technika Cyfrowa Laboratorium przedmiotu Technika Cyfrowa ćw.3 i 4: Asynchroniczne i synchroniczne automaty sekwencyjne 1. Implementacja asynchronicznych i synchronicznych maszyn stanu w języku VERILOG: Maszyny stanu w

Bardziej szczegółowo

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres PROJEKTOWANIE LICZNIKÓW (skrót wiadomości) Autor: Rafał Walkowiak W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres rafal.walkowiak@cs.put.poznan.pl 1. Synchroniczne łączenie liczników

Bardziej szczegółowo

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji mgr inż. Paulina Mazurek Warszawa 2013 1 Wstęp Układ

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA BRAMKI. Rev.1.0

LABORATORIUM TECHNIKA CYFROWA BRAMKI. Rev.1.0 LABORATORIUM TECHNIKA CYFROWA BRAMKI Rev..0 LABORATORIUM TECHNIKI CYFROWEJ: Bramki. CEL ĆWICZENIA - praktyczna weryfikacja wiedzy teoretycznej z zakresu działania bramek, - pomiary parametrów bramek..

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Magistrale W układzie bank rejestrów do przechowywania danych. Wybór źródła danych

Bardziej szczegółowo