Układy Cyfrowe. Symulacje komputerowe Realizacja prototypu projektu z wykorzystaniem systemu CAD. Projekt: Realizacja:

Wielkość: px
Rozpocząć pokaz od strony:

Download "Układy Cyfrowe. Symulacje komputerowe Realizacja prototypu projektu z wykorzystaniem systemu CAD. Projekt: Realizacja:"

Transkrypt

1 Układy Cyfrowe Symulacje komputerowe Realizacja prototypu projektu z wykorzystaniem systemu CAD. Projekt: Realizacja: Emil Rosłanowski Adrian Staniec Michał Waśkiewicz 1

2 1. Informacje ogólne dotyczące realizacji gry Tetris: Cała realizacja tetrisa została rozłożona zgodnie ze specyfikacją wstępną na kilka głównych modułów omówionych w dalszej części: 1. Moduł automatu gry 2. Moduł obsługi klawiatury 3. Moduł wyświetlania VGA 4. Generator nowego klocka 5. Pamięć RAM 6. Rejestr pozycji poziomej (x) 7. Licznik punktów (w kodzie BCD) W obecnej wersji postanowiliśmy zintegrować rejestr pozycji pionowej (y) z modułem automatu gry. Wszystkie części zostały opisane w języku VHDL, łącznie z plikami najwyżej w hierarchii czyli połączeniem w/w bloków. Projekt testowany jest na płycie ewaluacyjnej NanoBoard z układem Altera Cyclone EP1C12Q240C7. Ze względu na specyfikę tej płyty (obecność klawiatury matrycowej) konieczne stało się dopisanie jeszcze jednego modułu do obsługi tej części projektu. 2. Moduł automatu gry start - sygnał startu automatu gry reset - reset automatu gry (docelowo powoduje wyczyszczenie tetrionu) klocek - 5-bitowe słowo opisujące aktualnie spadający klocek. Młodsze dwa bity symbolizują kąt obrotu klocka, a starsze rodzaj klocka (liczba z zakresu 1-7). x - pozycja pozioma klocka dane_z_ramu - magistrala służąca do odczytu danych z pamięci RAM gotowy - sygnalizacja zakończenia pracy automatu i oczekiwania na kolejny sygnał startu (1 - oczekuje, 0 - pracuje) losuj - linia służąca do wyzowlenia wylosowania kolejnego klocka (dodatni impuls trwający jeden takt zegara). y - wyjście rejestru pozycji pionowej tetramino. zegar_p - zegar do pamięci RAM. Narastające zbocze powoduje zatrzaśnięcie adresu i danych, opadające odczyt danych. adres - adres do pamięci RAM zapis - zapis/odczyt danych do/z pamięci RAM (1 - zapis, 0 - odczyt). dane_do_ramu - dane zapisywane do pamięci RAM 2

3 Moduł ten odpowiedzialny jest za obsługę opuszczania klocka. Wzorzec aktualnie opuszczanego tetramino odczytywany jest z pamięci RAM i przechowywany w 16 bitowym rejestrze. Następnie odczytywany jest obraz tetrionu (planszy gry) gdzie znajdzie się klocek po opuszczeniu (pozycja y o jeden mniejsza niż aktualnie). Otrzymane w ten sposób dwa 16-bitowe słowa są porównywane iloczynem logicznym i jeżeli co najmniej jedno z porównać będzie logiczną jedynką to opuszczenie klocka nie jest możliwe. W takiej sytuacji obraz klocka zapisywany jest do pamięci RAM w odpowiednie miejsce tetrionu. W przeciwnym wypadku klocek opuszczany jest o jedną pozycję w dół. Moduł ten składa się z kilku mniejszych podmodułów. Rysunek 1. Symulacja automatu gry wraz z dołączonymi podmodułami Automat start - sygnał startu automatu gry start_wzorzec - sygnał wyzwolenia automatu do wczytania wzorca klocka start_tetrion - sygnał wyzwolenia automatu do wczytania fragmentu tetrionu 3

4 reset - reset automatu gry (docelowo powoduje wyczyszczenie tetrionu) mozna_opuscic - sygnał informujący o tym, czy można opuścić klocek gotowy_wzorzec - sygnalizacja gotowości modułu odczytu wzorca klocka z pamięci (1 - gotowy) gotowy_tetrion - sygnalizacja gotowości modułu odczytu odpowiedniego fragmentu tetrionu z pamięci (1 - gotowy) gotowy_zapis - sygnalizacja gotowości modułu zapisu klocka do pamięci (1 - gotowy) start_zapis - sygnał wyzwolenia automatu do zapisu klocka do pamięci start_y - sygnał zerowania rejestru pozycji pionowej klocka (pojawienie się nowego) opusc - sygnał służący do inkrementacji rejestru y (opuszczania klocka) gotowy - sygnalizacja zakończenia pracy automatu i oczekiwania na kolejny sygnał startu (1 - oczekuje, 0 - pracuje) Jest to maszyna stanów kontrolująca wszystkie pozostałe moduły kontrolera gry. Uruchamiana jest sygnałem start z kontrolera VGA. Uruchamia odczyt wzorca klocka z pamięci RAM, następnie odczyt fragmentu tetrionu, a następnie w zależności od porównania tych wzorców powoduje zapisanie klocka do pamięci, lub opuszczenie o jedną pozycję w dół. Na rysunku poniżej przedstawiono graf przejść Zapis klocka do tetrionu gotowy - sygnalizacja zakończenia pracy automatu i oczekiwania na kolejny sygnał startu (1 - oczekuje, 0 - pracuje) 4

5 start - sygnał startu automatu klocek - numer aktualnie spadającego klocka wraz z numerem obrotu wzorzec - 16-bitowe słowo reprezentujące wzorzec klocka do zapisania x - pozycja pozioma klocka do zapisania y - pozycja pionowa klocka do zapisania zegar_p - zegar do pamięci RAM. Narastające zbocze powoduje zatrzaśnięcie adresu i danych. adres - adres do pamięci RAM zapis - zapis/odczyt danych do/z pamięci RAM (1 - zapis, 0 - odczyt). dane_do_ramu - dane zapisywane do pamięci RAM Moduł ten odpowiedzialny jest za zapisanie aktualnego klocka, którego pozycja oraz typ przekazywany jest przez porty x, y oraz klocek. Sygnał start wyzwala automat. Komunikacja z pamięcią RAM odbywa się za pomocą odpowiednich portów. Rysunek 2. Symulacja zapisu klocka do pamięci RAM Moduł pobierania wzoru klocka z pamięci RAM start - sygnał startu automatu klocek - 5-bitowe słowo opisujące aktualnie spadający klocek. Młodsze dwa bity symbolizują kąt obrotu klocka, a starsze rodzaj klocka (liczba z zakresu 1-7). adres - adres do pamięci RAM zapis - zapis/odczyt danych do/z pamięci RAM (1 - zapis, 0 - odczyt). zegar_p - zegar do pamięci RAM. Narastające zbocze powoduje zatrzaśnięcie adresu i danych, opadające odczyt danych. 5

6 dane_z_ramu - magistrala służąca do odczytu danych z pamięci RAM gotowy - sygnalizacja zakończenia pracy automatu i oczekiwania na kolejny sygnał startu (1 - oczekuje, 0 - pracuje) wzorzec - odczytany wzorzec klocka Moduł odczytuje wzorzec klocka (o numerze przekazywanym przez port klocek) z pamięci RAM i zatrzaskuje wynik na wyjściu wzorzec. Analogicznie jak poprzednie moduły komunikuje się z pamięcią przez odpowiednie magistrale. W stanie nieaktywnym na magistralach pojawia się stan wysokiej impedancji (oprócz wyjścia zegara, gdzie utrzymywane jest zero logiczne). Koniec pracy sygnalizuje przez wystawienie jedynki logicznej na wyjście gotowy. Rysunek 3. Symulacja pobierania wzorca klocka z pamięci RAM Moduł pobierania fragmentu tetrionu z pamięci. start - sygnał startu automatu x - pozycja pozioma klocka y - pozycja pionowa klocka dane_z_ramu - magistrala służąca do odczytu danych z pamięci RAM gotowy - sygnalizacja zakończenia pracy automatu i oczekiwania na kolejny sygnał startu (1 - oczekuje, 0 - pracuje) wzorzec - odczytany wzorzec tetrionu adres - adres do pamięci RAM zapis - zapis/odczyt danych do/z pamięci RAM (1 - zapis, 0 - odczyt). zegar_p - zegar do pamięci RAM. Narastające zbocze powoduje zatrzaśnięcie adresu i danych, opadające odczyt danych. Moduł pobiera informacje o stanie tetrionu w odpowiednim obszarze określonym przez aktualną pozycję klocka (x,y). Po odczytaniu wzorca zatrzaskuje go na odpowiednim wyjsciu w celu późniejszego porównania z odczytanum wzorcem aktualnie opadającego klocka. 6

7 Rysunek 4. Symulacja pobierania fragmentu tetrionu z pamięci RAM Rejestr pozycji pionowej klocka (y) start - ustawienie rejestru na wartość 1 opusc - zwiększenie rejestru o 1 y - wyjście rejestru Rejestr odpowiedzialny jest za pozycję pionową klocka. Rysunek 5. Symulacja działania rejestru pozycji pionowej Porównanie wzorców Jest to część odpowiedzialna za porównywanie wcześniej odczytanych wzorców. Wykonuje operację iloczynu logicznego na dwóch 16-bitowych wejściach (odpowiedni pin z jednego wejścia z pinem z drugiego wejścia). Jeżeli wynik jest równy 0 to wystawiana jest jedynka logiczna na wyjście. W przeciwnym wypadku wystawiane jest zero logiczne. 7

8 3. Moduł kontrolera VGA reset - zresetowanie modułu dane - dane z pamięci RAM pkty - punkty zdobyte przez gracza przekazywane w kodzie BCD (0-999) klocek_nowy - 5-bitowe słowo opisujące kolejny klocek. klocek - 5-bitowe słowo opisujące aktualnie spadający klocek. Młodsze dwa bity symbolizują kąt obrotu klocka, a starsze rodzaj klocka (liczba z zakresu 1-7). klocek_y - pozycja pionowa aktualnie spadającego klocka klocek_x - pozycja pozioma aktualnie spadającego klocka gotowy_gra - sygnał zakończenia pracy automatu gry gotowy_lr - sygnał zakończenia pracy automatu obsługującego klawiaturę (przesuwania klocka i obroty) adres - adres do pamięci RAM zegar_p - zegar do pamięci RAM. Narastające zbocze powoduje zatrzaśnięcie adresu i danych, opadające odczyt danych. zapis - zapis/odczyt danych do/z pamięci RAM (1 - zapis, 0 - odczyt). hsync - ompulsy synchronizacji poziomej VGA vsync - impulsy synchronizacji pionowej VGA rgb - sygnały kolorów przekazyane do VGA start_gra - wyzwolenie pracy automatu gry start_lr - wyzwolenie pracy automatu kontrolującego klawiaturę Moduł kontrolera VGA wyświetla na ekranie monitora obraz składający się z czterech części: 1. Plansza gry wraz z zawartością oprócz aktualnie opadającego klocka. 2. Aktualnie opadający klocek. 3. Liczba zdobytych przez użytkownika punktów. 4. Obraz nowego klocka. Poza tymi obszarami wyświetlane jest zielone tło. Obraz tetrionu, wzór klocków zarówno opadających jak i nowego oraz kształt cyfr 8

9 wyświetlanych w punktacji pobierany jest z pamięci RAM. W projekcie wybrano rozdzielczość obrazu 800x600 z częstotliwością odświeżania 72Hz co umożliwia współpracę z nowymi monitorami LCD. Aby zapewnić odpowiednią częstotliwość kolejnych pikseli konieczne jest taktowanie jednostki zegarem 50MHz. Moduł ten wyzwala również dwa pozostałe moduły: moduł kontrolera klawiatury oraz automat gry w czasie gdy nie jest konieczne wysyłanie danych na ekran. Przy pisaniu tego fragmentu układu wzorowaliśmy się na kodzie z [2] oraz korzystaliśmy z zależności czasowych opisanych na stronie [4]. Rysunek 6. Symulowania generacji jednej linii poziomej obrazu VGA. 4. Moduł kontrolera klawiatury adres - adres do pamięci RAM 9

10 reset - zresetowanie modułu lewy - wejście przycisku odpowiedzialnego za przesuwanie klocka w lewo prawy - wejście przycisku odpowiedzialnego za przesuwanie klocka w prawo obroc - wejście przycisku odpowiedzialnego za obracanie klocka X - pozycja pozioma klocka Y - pozycja pionowa klocka start - wyzwolenie automatu klocek - 3-bitowy numer aktualnego klocka obrot - liczba określająca obrót aktualnego klocka dane_z_ramu - dane z pamięci RAM zegar_p - zegar do pamięci RAM. Narastające zbocze powoduje zatrzaśnięcie adresu i danych, opadające odczyt danych. gotowy - sygnalizacja zakończenia pracy automatu i oczekiwania na kolejny sygnał startu (1 - oczekuje, 0 - pracuje) xp - impuls przesunięcia klocka w prawo xm - impuls przesunięcia klocka w lewo oe - impuls obrotu klocka Moduł odpowiedzialny jest za sprawdzenie czy możliwy jest obrót/przesunięcie klocka. Przykładowo jeżeli użytkownik nacisnął przycisk obrotu klocka, automat sprawdza czy jest możliwa taka operacja i jeżeli jest to generuje impuls na odpowiednim wyjściu. Moduł wyzwalany jest z modułu głównego impulsem na wejściu start i sygnalizuje koniec pracy jedynką logiczną na wyjściu gotowy. Rysunek 7. Symulacja sprawdzenia czy możliwy jest obrót klocka. 10

11 5. Moduł losowania nowego klocka klawiatura - wejścia wszystkich przycisków dołączonych do gry nastepny - sygnał wylosowania kolejnego klocka obroc - narastajace zbocze powoduje obrócenie klocka aktualny - numer aktualnego klocka nowy - numer kolejnego klocka W module tym zaimplementowano licznik modulo 28, który zlicza impulsy zegarowe.wartość tego licznika zatrzaskiwana jest przy naciśnięciu dowolnego przycisku z klawiatury. Kolejny rejestr zatrzaskuję tą wartość w momencie przyjścia impulsu z wejścia nastepny. 6. Pamięć RAM data - dane do zapisu wren - zezwolenie na zapis address - adres inclock - zegar sterujący rejestrami wejściowymi outclock - zegar sterujący rejestrem wyjściowym q - wyjście danych Pamięć RAM została wygenerowana za pomocą kreatora wbudowanego w pakiet Quartus. Jest to pamięć o słowach 4-bitowych i pojemności 512 słów. Posiada oddzielny sygnał zegarowy wejść oraz wyjść. W projekcie gry tetris poszczególne obszary pamięci wykorzystywane są w następujący sposób: 11

12 Adres Przeznaczenie Obraz tetrionu Wzory klocków Mapa cyfr do punktacji 7. Podsumowanie W tej części projektu udało nam się uruchomić na płycie ewaluacyjnej NanoBoard moduł wyświetlania obrazu na monitorze VGA współpracujący z modułem kontrolera gry i pamięcią RAM. Dołączono również kontroler klawiatury z możliwością przesuwania aktualnie spadającego klocka w zawężonym zakresie. Sprawdzono poprawność działania akrualnie działającej części algorytmu gry i moduł losowania nowego klocka. Postanowiono rozbudować moduł losujący o algorytm LSFR. Pozostałe bloki (kontrola obracania/przesuwania oraz kasowanie tetrionu) zostały przetestowane w symulacjach komputerowych bez połączenia z całym układem. 8. Bibliografia [1] Projektowanie układów cyfrowych z wykorzystaniem języka VHDL Zwoliński Mark [2] Układy FPGA Sterownik monitora VGA s.163 Majewski, Zbysiński [3] Synteza układów cyfrowych Łuba Tadeusz [4] 12

Układy Cyfrowe. Specyfikacja wstępna Zebranie informacji dotyczących tematyki projektu oraz przedstawienie koncepcji realizacji projektu.

Układy Cyfrowe. Specyfikacja wstępna Zebranie informacji dotyczących tematyki projektu oraz przedstawienie koncepcji realizacji projektu. Układy Cyfrowe Specyfikacja wstępna Zebranie informacji dotyczących tematyki projektu oraz przedstawienie koncepcji realizacji projektu Projekt: Realizacja: Emil Rosłanowski Adrian Staniec Michał Waśkiewicz

Bardziej szczegółowo

Projekt z UCYF Specyfikacja II (realizacja projektu w CAD) Temat: Sprzętowa realizacja gry Arkanoid

Projekt z UCYF Specyfikacja II (realizacja projektu w CAD) Temat: Sprzętowa realizacja gry Arkanoid Studenci: Tomasz Biały, Grzegorz Chmielewski, Michał Stasiuk, Prowadzący: dr inż. Paweł Tomaszewicz Projekt z UCYF Specyfikacja II (realizacja projektu w CAD) Temat: Sprzętowa realizacja gry Arkanoid 1.

Bardziej szczegółowo

Projekt z UCYF Dokumentacja końcowa. Temat: Sprzętowa realizacja gry Arkanoid

Projekt z UCYF Dokumentacja końcowa. Temat: Sprzętowa realizacja gry Arkanoid Studenci: Tomasz Biały, Grzegorz Chmielewski, Michał Stasiuk, Prowadzący: dr inż. Paweł Tomaszewicz Projekt z UCYF Dokumentacja końcowa Temat: Sprzętowa realizacja gry Arkanoid 1. Informacje ogólne: Gra

Bardziej szczegółowo

PROJEKT UCYF. Specyfikacja wstępna. 1. Informacje o grze: TEMAT: Sprzętowa realizacja gry Arkanoid przy pomocy języka opisu sprzętu VHDL.

PROJEKT UCYF. Specyfikacja wstępna. 1. Informacje o grze: TEMAT: Sprzętowa realizacja gry Arkanoid przy pomocy języka opisu sprzętu VHDL. PROJEKT UCYF Specyfikacja wstępna TEMAT: Sprzętowa realizacja gry Arkanoid przy pomocy języka opisu sprzętu VHDL. 1. Informacje o grze: a) Rys historyczny Arkanoid jest grą typu aracade stworzoną przez

Bardziej szczegółowo

Technika mikroprocesorowa. Konsola do gier

Technika mikroprocesorowa. Konsola do gier K r a k ó w 1 1. 0 2. 2 0 1 4 Technika mikroprocesorowa Konsola do gier W yk o n a l i : P r o w a d z ą c y: P a w e ł F l u d e r R o b e r t S i t k o D r i n ż. J a c e k O s t r o w s k i Opis projektu

Bardziej szczegółowo

Instrukcja do ćwiczenia : Matryca komutacyjna

Instrukcja do ćwiczenia : Matryca komutacyjna Instrukcja do ćwiczenia : Matryca komutacyjna 1. Wstęp Każdy kanał w systemach ze zwielokrotnieniem czasowym jest jednocześnie określany przez swoją współrzędną czasową T i współrzędną przestrzenną S.

Bardziej szczegółowo

1.2 Schemat blokowy oraz opis sygnałów wejściowych i wyjściowych

1.2 Schemat blokowy oraz opis sygnałów wejściowych i wyjściowych Dodatek A Wyświetlacz LCD. Przeznaczenie i ogólna charakterystyka Wyświetlacz ciekłokrystaliczny HY-62F4 zastosowany w ćwiczeniu jest wyświetlaczem matrycowym zawierającym moduł kontrolera i układ wykonawczy

Bardziej szczegółowo

Projektowanie Systemów Wbudowanych

Projektowanie Systemów Wbudowanych Projektowanie Systemów Wbudowanych Podstawowe informacje o płycie DE2 Autorzy: mgr inż. Dominik Bąk i mgr inż. Leszek Ciopiński 1. Płyta DE2 Rysunek 1. Widok płyty DE2 z zaznaczonymi jej komponentami.

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Układy synchroniczne Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 26 października 2015 Co to jest układ sekwencyjny? W układzie sekwencyjnym,

Bardziej szczegółowo

Modelowanie liczników w języku Verilog i ich implementacja w strukturze FPGA

Modelowanie liczników w języku Verilog i ich implementacja w strukturze FPGA Modelowanie liczników w języku Verilog i ich implementacja w strukturze FPGA Licznik binarny Licznik binarny jest najprostszym i najpojemniejszym licznikiem. Kod 4 bitowego synchronicznego licznika binarnego

Bardziej szczegółowo

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej Struktura stanowiska laboratoryjnego Na rysunku 1.1 pokazano strukturę stanowiska laboratoryjnego Z80 z interfejsem częstościomierza- czasomierz PFL 21/22. Rys.1.1. Struktura stanowiska. Interfejs częstościomierza

Bardziej szczegółowo

4. Karta modułu Slave

4. Karta modułu Slave sygnały na magistralę. Można wyróżnić trzy typy układów scalonych takie jak bramki o otwartym kolektorze wyjściowym, bramki trójstanowe i bramki o przeciwsobnym wzmacniaczu wyjściowym. Obciążalność prądową

Bardziej szczegółowo

Układy Cyfrowe projekt. Korekcja jasności obrazów w 24-bitowym formacie BMP z użyciem funkcji gamma. Opis głównych modułów sprzętowych

Układy Cyfrowe projekt. Korekcja jasności obrazów w 24-bitowym formacie BMP z użyciem funkcji gamma. Opis głównych modułów sprzętowych Michał Leśniewski Tomasz Władziński Układy Cyfrowe projekt Korekcja jasności obrazów w 24-bitowym formacie BMP z użyciem funkcji gamma Opis głównych modułów sprzętowych Realizacja funkcji gamma entity

Bardziej szczegółowo

Opis układów wykorzystanych w aplikacji

Opis układów wykorzystanych w aplikacji Opis układów wykorzystanych w aplikacji Układ 74LS164 jest rejestrem przesuwnym służącym do zamiany informacji szeregowej na równoległą. Układ, którego symbol logiczny pokazuje rysunek 1, posiada dwa wejścia

Bardziej szczegółowo

(57) Tester dynamiczny współpracujący z jednej strony (13) B1 (12) OPIS PATENTOWY (19) PL (11) PL B1. (54) Tester dynamiczny

(57) Tester dynamiczny współpracujący z jednej strony (13) B1 (12) OPIS PATENTOWY (19) PL (11) PL B1. (54) Tester dynamiczny RZECZPOSPOLITA POLSKA (12) OPIS PATENTOWY (19) PL (11) 166151 (13) B1 Urząd Patentowy Rzeczypospolitej Polskiej (21) Numer zgłoszenia: 2 9 0 5 8 3 (22) Data zgłoszenia: 06.06.1991 (51) IntCl5: G01R 31/28

Bardziej szczegółowo

Wyświetlacz alfanumeryczny LCD zbudowany na sterowniku HD44780

Wyświetlacz alfanumeryczny LCD zbudowany na sterowniku HD44780 Dane techniczne : Wyświetlacz alfanumeryczny LCD zbudowany na sterowniku HD44780 a) wielkość bufora znaków (DD RAM): 80 znaków (80 bajtów) b) możliwość sterowania (czyli podawania kodów znaków) za pomocą

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

Ćw. 7: Układy sekwencyjne

Ćw. 7: Układy sekwencyjne Ćw. 7: Układy sekwencyjne Wstęp Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną następujące układy

Bardziej szczegółowo

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych.

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych. Ćwiczenie 9 Rejestry przesuwne i liczniki pierścieniowe. Cel. Poznanie właściwości i zasady działania rejestrów przesuwnych.. Poznanie właściwości i zasady działania liczników pierścieniowych. Wprowadzenie.

Bardziej szczegółowo

Pamięci półprzewodnikowe w oparciu o książkę : Nowoczesne pamięci. Ptc 2013/2014 13.12.2013

Pamięci półprzewodnikowe w oparciu o książkę : Nowoczesne pamięci. Ptc 2013/2014 13.12.2013 Pamięci półprzewodnikowe w oparciu o książkę : Nowoczesne pamięci półprzewodnikowe, Betty Prince, WNT Ptc 2013/2014 13.12.2013 Pamięci statyczne i dynamiczne Pamięci statyczne SRAM przechowywanie informacji

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 7 (2h) Obsługa urządzenia peryferyjnego z użyciem pamięci w VHDL. Instrukcja do zajęć laboratoryjnych z przedmiotu

Bardziej szczegółowo

Implementacja algorytmu szyfrującego

Implementacja algorytmu szyfrującego Warszawa 25.01.2008 Piotr Bratkowski 4T2 Przemysław Tytro 4T2 Dokumentacja projektu Układy Cyfrowe Implementacja algorytmu szyfrującego serpent w układzie FPGA 1. Cele projektu Celem projektu jest implementacja

Bardziej szczegółowo

Mateusz Żyliński Tadeusz Włodarkiewicz. WireWorld. Zebranie informacji dotyczących tematyki projektu oraz przedstawienie koncepcji realizacji projektu

Mateusz Żyliński Tadeusz Włodarkiewicz. WireWorld. Zebranie informacji dotyczących tematyki projektu oraz przedstawienie koncepcji realizacji projektu Mateusz Żyliński Tadeusz Włodarkiewicz WireWorld Zebranie informacji dotyczących tematyki projektu oraz przedstawienie koncepcji realizacji projektu 1 I. Informacje ogólne A utomat komórkowy to system

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Schemat ogólny X Y Układ kombinacyjny S Z Pamięć Zegar Działanie układu Zmiany wartości wektora S możliwe tylko w dyskretnych chwilach czasowych

Bardziej szczegółowo

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D AGH Katedra Elektroniki Podstawy Elektroniki dla Elektrotechniki Liczniki synchroniczne na przerzutnikach typu D Ćwiczenie 7 Instrukcja do ćwiczeń symulacyjnych 2016 r. 1 1. Wstęp Celem ćwiczenia jest

Bardziej szczegółowo

T2210HD/T2210HDA Szerokoekranowy monitor LCD 21,5 Podręcznik użytkownika

T2210HD/T2210HDA Szerokoekranowy monitor LCD 21,5 Podręcznik użytkownika T2210HD/T2210HDA Szerokoekranowy monitor LCD 21,5 Podręcznik użytkownika Spis treści Zawartość opakowania... 3 Instalowanie... 4 Przyłączanie monitora do komputera PC... 4 Regulowanie ustawień monitora...

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Ćwiczenie Nr 12 PROJEKTOWANIE WYBRANYCH

Bardziej szczegółowo

Specyfika projektowania Mariusz Rawski

Specyfika projektowania Mariusz Rawski CAD Specyfika projektowania Mariusz Rawski rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ System cyfrowy pierwsze skojarzenie Urządzenia wprowadzania danych: klawiatury czytniki urządzenia przetwarzania

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Magistrale W układzie bank rejestrów służy do przechowywania danych. Wybór źródła

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja 0.1 29.10.2013 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące

Bardziej szczegółowo

2. Architektura mikrokontrolerów PIC16F8x... 13

2. Architektura mikrokontrolerów PIC16F8x... 13 Spis treści 3 Spis treœci 1. Informacje wstępne... 9 2. Architektura mikrokontrolerów PIC16F8x... 13 2.1. Budowa wewnętrzna mikrokontrolerów PIC16F8x... 14 2.2. Napięcie zasilania... 17 2.3. Generator

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak 3.12.2015 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące funkcje

Bardziej szczegółowo

Urządzenia zewnętrzne

Urządzenia zewnętrzne Urządzenia zewnętrzne SZYNA ADRESOWA SZYNA DANYCH SZYNA STEROWANIA ZEGAR PROCESOR PAMIĘC UKŁADY WE/WY Centralna jednostka przetw arzająca (CPU) DANE PROGRAMY WYNIKI... URZ. ZEWN. MO NITORY, DRUKARKI, CZYTNIKI,...

Bardziej szczegółowo

IIPW_SML3_680 (Z80) przewodnik do ćwiczeń laboratoryjnych

IIPW_SML3_680 (Z80) przewodnik do ćwiczeń laboratoryjnych IIPW_SML3_680 (Z80) przewodnik do ćwiczeń laboratoryjnych wrzesieo 2010 UWAGA: Moduł jest zasilany napięciem do 3.3V i nie może współpracowad z wyjściami układów zasilanych z wyższych napięd. Do pracy

Bardziej szczegółowo

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne Spis treści 5 Spis treœci Co to jest mikrokontroler? Wprowadzenie... 11 Budowa systemu komputerowego... 12 Wejścia systemu komputerowego... 12 Wyjścia systemu komputerowego... 13 Jednostka centralna (CPU)...

Bardziej szczegółowo

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1 PODSTAWY TECHNIKI CYFROWEJ I MIKROPROCESOROWEJ EIP KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

Rejestratory Sił, Naprężeń.

Rejestratory Sił, Naprężeń. JAS Projektowanie Systemów Komputerowych Rejestratory Sił, Naprężeń. 2012-01-04 2 Zawartość Typy rejestratorów.... 4 Tryby pracy.... 4 Obsługa programu.... 5 Menu główne programu.... 7 Pliki.... 7 Typ

Bardziej szczegółowo

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp:

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Licznik elektroniczny - układ cyfrowy, którego zadaniem jest zliczanie wystąpień sygnału zegarowego. Licznik złożony

Bardziej szczegółowo

TeleVGA. Dokumentacja wer. 1.03

TeleVGA. Dokumentacja wer. 1.03 Dokumentacja wer. 1.03 Spis treści Wprowadzenie... 3 Opis złącz karty... 4 Złącze komunikacyjne - J1... 4 Złącze monitora - J2... 4 Złącze serwisowe - J3... 4 Złącze zasilania - J4 (opcja)... 5 Schemat

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Tydzień 11 Wejście - wyjście Urządzenia zewnętrzne Wyjściowe monitor drukarka Wejściowe klawiatura, mysz dyski, skanery Komunikacyjne karta sieciowa, modem Urządzenie zewnętrzne

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2 Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2 TECHNIKA MIKROPROCESOROWA 3EB KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA GÓRNICZO-HUTNICZA WWW.AGH.EDU.PL

Bardziej szczegółowo

T2200HD/T2200HDA Szerokoekranowy monitor LCD 21,5 Podręcznik użytkownika

T2200HD/T2200HDA Szerokoekranowy monitor LCD 21,5 Podręcznik użytkownika T2200HD/T2200HDA Szerokoekranowy monitor LCD 21,5 Podręcznik użytkownika Spis treści Zawartość opakowania... 3 Instalowanie... 4 Przyłączanie monitora do komputera PC... 4 Przyłączanie monitora do źródła

Bardziej szczegółowo

WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. Są najprostszymi układami pamięciowymi.

WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. Są najprostszymi układami pamięciowymi. 72 WYKŁAD 8 Przerzutniki. Przerzutniki są inną niż bramki klasą urządzeń elektroniki cyfrowej. ą najprostszymi układami pamięciowymi. PZEZUTNIK WY zapamietanie skasowanie Przerzutmik zapamiętuje zmianę

Bardziej szczegółowo

Sterowniki programowalne

Sterowniki programowalne Wykład w ramach przedmiotu Sterowniki programowalne Sterowniki programowalne GE Fanuc serii 90-30 Zasady działania systemu (część II) Na podstawie dokumentacji GE Fanuc przygotował dr inż. Jarosław Tarnawski

Bardziej szczegółowo

Program EDYTOR-AS-OUX

Program EDYTOR-AS-OUX Z.S.E. ASTER ul. Brzozowa 13 87-100 Toruń http:\\www.asterlm.mga.com.pl E-mail: asterlm@mga.com.pl m.lewndowski.aster@gmail.com Program EDYTOR-AS-OUX 1. Charakterystyka ogólna Program edytor-as-oux.exe

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. PTC 2015/2016 Magistrale W układzie cyfrowym występuje bank rejestrów do przechowywania

Bardziej szczegółowo

T201W/T201WA Szerokoekranowy monitor LCD 20 Podręcznik użytkownika

T201W/T201WA Szerokoekranowy monitor LCD 20 Podręcznik użytkownika T201W/T201WA Szerokoekranowy monitor LCD 20 Podręcznik użytkownika Spis treści Zawartość opakowania... 3 Instalowanie... 4 Przyłączanie monitora do komputera PC... 4 Przyłączanie monitora do źródła zasilania...

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH SPRAWOZDANIE Temat: Projekt notesu elektronicznego w języku VHDL przy użyciu układów firmy

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop Spis treści

Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop Spis treści Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop. 2017 Spis treści O autorze 9 Wprowadzenie 11 Rozdział 1. Sterownik przemysłowy 15 Sterownik S7-1200 15 Budowa zewnętrzna

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1 Część 3 Układy sekwencyjne Układy sekwencyjne i układy iteracyjne - grafy stanów 18.11.2017 TCiM Wydział EAIiIB Katedra EiASPE 1 Układ cyfrowy - przypomnienie Podstawowe informacje x 1 x 2 Układ cyfrowy

Bardziej szczegółowo

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia. Kilka informacji o przerzutnikach Jaki układ elektroniczny nazywa się przerzutnikiem? Przerzutnikiem bistabilnym jest nazywany układ elektroniczny, charakteryzujący się istnieniem dwóch stanów wyróżnionych

Bardziej szczegółowo

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY WFiIS LABORATORIUM Z ELEKTRONIKI Imię i nazwisko: 1. 2. TEMAT: ROK GRUPA ZESPÓŁ NR ĆWICZENIA Data wykonania: Data oddania: Zwrot do poprawy: Data oddania: Data zliczenia: OCENA CEL ĆWICZENIA Ćwiczenie

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TEHNIKA YFOWA 2 T1300 020 Ćwiczenie Nr 6 EALIZAJA FUNKJI EJETOWYH W TUKTUAH

Bardziej szczegółowo

Elektroniczny sejf hotelowy

Elektroniczny sejf hotelowy Elektroniczny sejf hotelowy Laboratorium Języków Opisu Sprzętu AGH WFiIS 1 Konstrukcja Układ zbudowany jest z: Enkodera obrotowego, Wyświetlacza dwucyfrowego, Dwóch przycisków, Czujnika otwarcia drzwi

Bardziej szczegółowo

UKŁADY CYFROWE. Układ kombinacyjny

UKŁADY CYFROWE. Układ kombinacyjny UKŁADY CYFROWE Układ kombinacyjny Układów kombinacyjnych są bramki. Jedną z cech układów kombinacyjnych jest możliwość przedstawienia ich działania (opisu) w postaci tabeli prawdy. Tabela prawdy podaje

Bardziej szczegółowo

Licznik rewersyjny MD100 rev. 2.48

Licznik rewersyjny MD100 rev. 2.48 Licznik rewersyjny MD100 rev. 2.48 Instrukcja obsługi programu PPH WObit mgr inż. Witold Ober 61-474 Poznań, ul. Gruszkowa 4 tel.061/8350-620, -800 fax. 061/8350704 e-mail: wobit@wobit.com.pl Instrukcja

Bardziej szczegółowo

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO!

ĆWICZENIE 7. Wprowadzenie do funkcji specjalnych sterownika LOGO! ćwiczenie nr 7 str.1/1 ĆWICZENIE 7 Wprowadzenie do funkcji specjalnych sterownika LOGO! 1. CEL ĆWICZENIA: zapoznanie się z zaawansowanymi możliwościami mikroprocesorowych sterowników programowalnych na

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci RAM w FPGA.

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci RAM w FPGA. Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci RAM w FPGA. Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów cyfrowych

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Ćwiczenie Nr 9 Procesor złożony Opracował:

Bardziej szczegółowo

Ćwiczenie 01 - Strona nr 1 ĆWICZENIE 01

Ćwiczenie 01 - Strona nr 1 ĆWICZENIE 01 ĆWICZENIE 01 Ćwiczenie 01 - Strona nr 1 Polecenie: Bez użycia narzędzi elektronicznych oraz informatycznych, wykonaj konwersje liczb z jednego systemu liczbowego (BIN, OCT, DEC, HEX) do drugiego systemu

Bardziej szczegółowo

Synchronizowanie czasu kontrolera PACSystems do urządzeń HMI

Synchronizowanie czasu kontrolera PACSystems do urządzeń HMI Synchronizowanie czasu kontrolera PACSystems do urządzeń HMI SYNCHRONIZOWANIE CZASU KONTROLERA DO OPROGRAMOWANIA INTOUCH, PANELU OPERATORSKIEGO QUICKPANEL+ LUB ASTRAADA HMI Informacje ogólne Kontrolery

Bardziej szczegółowo

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres PROJEKTOWANIE LICZNIKÓW (skrót wiadomości) Autor: Rafał Walkowiak W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres rafal.walkowiak@cs.put.poznan.pl 1. Synchroniczne łączenie liczników

Bardziej szczegółowo

Systemy wbudowane. Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej. Witold Kozłowski

Systemy wbudowane. Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej. Witold Kozłowski Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej Systemy wbudowane Witold Kozłowski Zakład Fizyki i Technologii Struktur Nanometrowych 90-236 Łódź, Pomorska 149/153 https://std2.phys.uni.lodz.pl/mikroprocesory/

Bardziej szczegółowo

1. Podstawowe wiadomości...9. 2. Możliwości sprzętowe... 17. 3. Połączenia elektryczne... 25. 4. Elementy funkcjonalne programów...

1. Podstawowe wiadomości...9. 2. Możliwości sprzętowe... 17. 3. Połączenia elektryczne... 25. 4. Elementy funkcjonalne programów... Spis treści 3 1. Podstawowe wiadomości...9 1.1. Sterowniki podstawowe wiadomości...10 1.2. Do czego służy LOGO!?...12 1.3. Czym wyróżnia się LOGO!?...12 1.4. Pierwszy program w 5 minut...13 Oświetlenie

Bardziej szczegółowo

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki.

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki. Literatura 1. D. Gajski, Principles of Digital Design, Prentice- Hall, 1997 2. C. Zieliński, Podstawy projektowania układów cyfrowych, PWN, Warszawa 2003 3. G. de Micheli, Synteza i optymalizacja układów

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Magistrale W układzie bank rejestrów do przechowywania danych. Wybór źródła danych

Bardziej szczegółowo

LEKCJA. TEMAT: Funktory logiczne.

LEKCJA. TEMAT: Funktory logiczne. TEMAT: Funktory logiczne. LEKCJA 1. Bramką logiczną (funktorem) nazywa się układ elektroniczny realizujący funkcje logiczne jednej lub wielu zmiennych. Sygnały wejściowe i wyjściowe bramki przyjmują wartość

Bardziej szczegółowo

ćw. Symulacja układów cyfrowych Data wykonania: Data oddania: Program SPICE - Symulacja działania układów liczników 7490 i 7493

ćw. Symulacja układów cyfrowych Data wykonania: Data oddania: Program SPICE - Symulacja działania układów liczników 7490 i 7493 Laboratorium Komputerowe Wspomaganie Projektowania Układów Elektronicznych Jarosław Gliwiński, Paweł Urbanek 1. Cel ćwiczenia ćw. Symulacja układów cyfrowych Data wykonania: 16.05.08 Data oddania: 30.05.08

Bardziej szczegółowo

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB Ćw. 9 Przerzutniki 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi elementami sekwencyjnymi, czyli przerzutnikami. Zostanie przedstawiona zasada działania przerzutników oraz sposoby

Bardziej szczegółowo

Odbiór i dekodowanie znaków ASCII za pomocą makiety cyfrowej. Znaki wysyłane przez komputer za pośrednictwem łącza RS-232.

Odbiór i dekodowanie znaków ASCII za pomocą makiety cyfrowej. Znaki wysyłane przez komputer za pośrednictwem łącza RS-232. Odbiór i dekodowanie znaków ASCII za pomocą makiety cyfrowej. Znaki wysyłane przez komputer za pośrednictwem łącza RS-232. Opracowanie: Andrzej Grodzki Do wysyłania znaków ASCII zastosujemy dostępny w

Bardziej szczegółowo

Karta katalogowa JAZZ OPLC JZ20-T40/JZ20-J-T wejść cyfrowych, 2 wejścia analogowe/cyfrowe, 2 wejścia analogowe. 20 wyjść tranzystorowych

Karta katalogowa JAZZ OPLC JZ20-T40/JZ20-J-T wejść cyfrowych, 2 wejścia analogowe/cyfrowe, 2 wejścia analogowe. 20 wyjść tranzystorowych Karta katalogowa JAZZ OPLC JZ20-T40/JZ20-J-T40 16 wejść cyfrowych, 2 wejścia analogowe/cyfrowe, 2 wejścia analogowe 20 wyjść tranzystorowych Specyfikacja techniczna Zasilanie Napięcie zasilania 24 VDC

Bardziej szczegółowo

Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury

Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury Technika Mikroprocesorowa Laboratorium 5 Obsługa klawiatury Cel ćwiczenia: Głównym celem ćwiczenia jest nauczenie się obsługi klawiatury. Klawiatura jest jednym z urządzeń wejściowych i prawie zawsze występuje

Bardziej szczegółowo

STEROWANIE MASZYN I URZĄDZEŃ I. Laboratorium. 4. Przekaźniki czasowe

STEROWANIE MASZYN I URZĄDZEŃ I. Laboratorium. 4. Przekaźniki czasowe STEROWANIE MASZYN I URZĄDZEŃ I Laboratorium 4. Przekaźniki czasowe Opracował: dr hab. inż. Cezary Orlikowski Instytut Politechniczny W tym ćwiczeniu będą realizowane programy sterujące zawierające elementy

Bardziej szczegółowo

Pamięci półprzewodnikowe na podstawie książki: Nowoczesne pamięci

Pamięci półprzewodnikowe na podstawie książki: Nowoczesne pamięci Pamięci półprzewodnikowe na podstawie książki: Nowoczesne pamięci półprzewodnikowe, Betty Prince, WNT 16.12.2017 Półprzewodnikowe pamięci statyczne Pamięci statyczne - SRAM przechowywanie informacji w

Bardziej szczegółowo

Terminal WSP dla sygnalizatorów wibracyjnych

Terminal WSP dla sygnalizatorów wibracyjnych 44-100 Gliwice, ul. Portowa 21 NIP 631-020-75-37 e-mail: nivomer@poczta.onet.pl www: www.nivomer.pl fax./tel. (032) 234-50-06 0601-40-31-21 Terminal WSP dla sygnalizatorów wibracyjnych Spis treści: 1.

Bardziej szczegółowo

Technika Cyfrowa. Badanie pamięci

Technika Cyfrowa. Badanie pamięci LABORATORIUM Technika Cyfrowa Badanie pamięci Opracował: mgr inż. Andrzej Biedka CEL ĆWICZENIA Celem ćwiczenia jest zapoznanie się studentów z budową i zasadą działania scalonych liczników asynchronicznych

Bardziej szczegółowo

Pamięci półprzewodnikowe

Pamięci półprzewodnikowe Pamięci półprzewodnikowe na podstawie książki: Nowoczesne pamięci półprzewodnikowe, Betty Prince, WNT Ptc 2014/2015 15.1.2015 Półprzewodnikowe pamięci statyczne Pamięci statyczne - SRAM przechowywanie

Bardziej szczegółowo

Przykładowe zagadnienia na sprawdzian z wiedzy ogólnej. Linux to nazwa: A. Programu biurowego. B. Systemu operacyjnego. C. Przeglądarki internetowej.

Przykładowe zagadnienia na sprawdzian z wiedzy ogólnej. Linux to nazwa: A. Programu biurowego. B. Systemu operacyjnego. C. Przeglądarki internetowej. Przykładowe zagadnienia na sprawdzian z wiedzy ogólnej Linux to nazwa: A. Programu biurowego. B. Systemu operacyjnego. C. Przeglądarki internetowej. Przycisk RESET znajdujący się na obudowie komputera,

Bardziej szczegółowo

Programowany układ czasowy

Programowany układ czasowy Programowany układ czasowy Zbuduj na płycie testowej ze Spartanem-3A prosty ośmiobitowy układ czasowy pracujący w trzech trybach. Zademonstruj jego działanie na ekranie oscyloskopu. Projekt z Języków Opisu

Bardziej szczegółowo

PRUS. projekt dokumentacja końcowa

PRUS. projekt dokumentacja końcowa Adrian Antoniewicz Marcin Dudek Mateusz Manowiecki 17.01.2007 PRUS projekt dokumentacja końcowa Temat: Układ zdalnego sterowania (za pomocą interfejsu RS-232) wyświetlaczem LCD. Spis treści: 1. 2. 3. 4.

Bardziej szczegółowo

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM Spis treści 1. Wstęp... 9 2. Ćwiczenia laboratoryjne... 12 2.1. Środowisko projektowania Quartus II dla układów FPGA Altera... 12 2.1.1. Cel ćwiczenia... 12 2.1.2. Wprowadzenie... 12 2.1.3. Przebieg ćwiczenia...

Bardziej szczegółowo

Enkoder magnetyczny AS5040.

Enkoder magnetyczny AS5040. Enkoder magnetyczny AS5040. Edgar Ostrowski Jan Kędzierski www.konar.ict.pwr.wroc.pl Wrocław, 28.01.2007 1 Spis treści 1 Wstęp... 3 2 Opis wyjść... 4 3 Tryby pracy... 4 3.1 Tryb wyjść kwadraturowych...

Bardziej szczegółowo

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Cyfrowe Elementy Automatyki Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów,

Bardziej szczegółowo

Temat: Pamięci. Programowalne struktury logiczne.

Temat: Pamięci. Programowalne struktury logiczne. Temat: Pamięci. Programowalne struktury logiczne. 1. Pamięci są układami służącymi do przechowywania informacji w postaci ciągu słów bitowych. Wykonuje się jako układy o bardzo dużym stopniu scalenia w

Bardziej szczegółowo

Sprawozdanie z projektu MARM. Część druga Specyfikacja końcowa. Prowadzący: dr. Mariusz Suchenek. Autor: Dawid Kołcz. Data: r.

Sprawozdanie z projektu MARM. Część druga Specyfikacja końcowa. Prowadzący: dr. Mariusz Suchenek. Autor: Dawid Kołcz. Data: r. Sprawozdanie z projektu MARM Część druga Specyfikacja końcowa Prowadzący: dr. Mariusz Suchenek Autor: Dawid Kołcz Data: 01.02.16r. 1. Temat pracy: Układ diagnozujący układ tworzony jako praca magisterska.

Bardziej szczegółowo

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki Politechnika Wrocławska, Wydział PP 1. Cel ćwiczenia Zapoznanie z wybranymi cyfrowymi układami sekwencyjnymi. Poznanie właściwości, zasad działania i sposobów realizacji przerzutników oraz liczników. 2.

Bardziej szczegółowo

Karta katalogowa JAZZ OPLC. Modele JZ20-T10/JZ20-J-T10 i JZ20-T18/JZ20-J-T18

Karta katalogowa JAZZ OPLC. Modele JZ20-T10/JZ20-J-T10 i JZ20-T18/JZ20-J-T18 Karta katalogowa JAZZ OPLC Modele JZ20-T10/JZ20-J-T10 i JZ20-T18/JZ20-J-T18 W dokumencie znajduje się specyfikacja Unitronics Jazz Micro-OPLC JZ20-T10/JZ20-J-T10 oraz JZ20-T18/JZ20-J-T18. Dodatkowe informacje

Bardziej szczegółowo

Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i Rys. 9.1.

Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i Rys. 9.1. Ćwiczenie 8 Liczniki zliczające, kody BCD, 8421, 2421 Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i 2421. Wstęp teoretyczny. Przerzutniki

Bardziej szczegółowo

Projektowanie złożonych układów cyfrowych

Projektowanie złożonych układów cyfrowych rojektowanie złożonych układów cyfrowych Mariusz Rawski rawski@tele.pw.edu.pl www.zpt.tele.pw.edu.pl/~rawski/ Mariusz Rawski 1 Zamek elektroniczny: Elektroniczny zamek kod 4 cyfrowy kod wprowadzony z klawiatury

Bardziej szczegółowo

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek Architektura systemów komputerowych Poziom układów logicznych. Układy sekwencyjne Cezary Bolek Katedra Informatyki Plan wykładu Układy sekwencyjne Synchroniczność, asynchroniczność Zatrzaski Przerzutniki

Bardziej szczegółowo

LEKCJA TEMAT: Zasada działania komputera.

LEKCJA TEMAT: Zasada działania komputera. LEKCJA TEMAT: Zasada działania komputera. 1. Ogólna budowa komputera Rys. Ogólna budowa komputera. 2. Komputer składa się z czterech głównych składników: procesor (jednostka centralna, CPU) steruje działaniem

Bardziej szczegółowo

Licznik prędkości LP100 rev. 2.48

Licznik prędkości LP100 rev. 2.48 Licznik prędkości LP100 rev. 2.48 Instrukcja obsługi programu PPH WObit mgr inż. Witold Ober 61-474 Poznań, ul. Gruszkowa 4 tel.061/8350-620, -800 fax. 061/8350704 e-mail: wobit@wobit.com.pl Instrukcja

Bardziej szczegółowo

Zespół Szkół Technicznych. Badanie wyświetlaczy LCD

Zespół Szkół Technicznych. Badanie wyświetlaczy LCD Zespół Szkół Technicznych Badanie wyświetlaczy LCD WYŚWIETLACZE LCD CZĘSC TEORETYCZNA ZALETY: ) mały pobór mocy, 2) ekonomiczność pod względem zużycia energii (pobór prądu przy 5V mniejszy niż 2mA), 3)

Bardziej szczegółowo

IMP Tester v 1.1. Dokumentacja Techniczno Ruchowa

IMP Tester v 1.1. Dokumentacja Techniczno Ruchowa EL-TEC Sp. z o.o. ul. Wierzbowa 46/48 93-133 Łódź tel: +48 42 678 38 82 fax: +48 42 678 14 60 e-mail: info@el-tec.com.pl http://www.el-tec.com.pl IMP Tester v 1.1 Dokumentacja Techniczno Ruchowa Spis treści:

Bardziej szczegółowo