Układy Cyfrowe projekt. Korekcja jasności obrazów w 24-bitowym formacie BMP z użyciem funkcji gamma. Opis głównych modułów sprzętowych

Wielkość: px
Rozpocząć pokaz od strony:

Download "Układy Cyfrowe projekt. Korekcja jasności obrazów w 24-bitowym formacie BMP z użyciem funkcji gamma. Opis głównych modułów sprzętowych"

Transkrypt

1 Michał Leśniewski Tomasz Władziński Układy Cyfrowe projekt Korekcja jasności obrazów w 24-bitowym formacie BMP z użyciem funkcji gamma Opis głównych modułów sprzętowych Realizacja funkcji gamma entity gamma Sercem opracowanego układu jest oczywiście komponent, który korzystając z pamięci ROM oblicza aproksymowane wartości funkcji gamma. W projekcie odpowiada mu plik gamma.vhd. Układ ten nie jest automatem, działa praktycznie jak układ logiczny. Ponieważ wiele wartości (przede wszystkim zawartość pamięci ROM) musiały zostać przygotowane wcześniej, zostały więc wyliczone w programie OpenOffice Calc. Wyniki obliczeń znajdują się w pliku Obliczenia do układu.ods. Wejścia układu gammaval Parametr gamma Jest to stałoprzecinkowa liczba 8-bitowa bez znaku. Przecinek znajduje się za 2 bitem liczby. Dzięki temu może on przyjmować wartości z zakresu od 0 do 3,984375, a więc zgodnie z zakresem gamma. Według tej liczby wyznaczany jest numer krzywej n, którą powinno się wykorzystać. Granice zakresów odpowiadających tym samym wartościom n zostały wyliczone w automatycznie (plik Obliczenia do układu.ods zakładka Podział na krzywe ). x Parametr funkcji Jest to całkowita liczba 8-bitowa bez znaku. Jest parametrem funkcji (jasnością), dla której zostanie obliczony wynik. Wyjście układu y - Wynik funkcji Jest to całkowita liczba 8-bitowa bez znaku. Jest wynikiem funkcji dla zadanego parametru x oraz parametru gammaval. Pamięć ROM entity gammarom oraz jej alternatywy Zgodnie ze wstępnymi założeniami, komponent gamma wykorzystuje pamięć ROM z wcześniej obliczonymi wartościami dla wybranych parametrów. Moduł pamięci ROM został utworzony automatycznie narzędziem MegaWizard. Jej zawartość jest zdefiniowana w pliku gammarom.mif, a sam plik pamięci to gammarom.vhd. Pamięć ma 256 komórek 8-bitowych. Nie wykorzystano rejestrów trzymających wartości adresu ani wartości wyjściowych.

2 Alternatywy dla gammarom Dzięki temu, że ROM zdefiniowano w osobnym pliku, został użyty jako osobny komponent, co pozwoliło nam na łatwe podmienienie tego komponentu na alternatywne. W pliku gamma można zamienić nazwy komponentu z gammarom na gammarom_alt1 lub gammarom_alt2, co spowoduje dołączenie zamiast pamięci ROM, układów działających tak samo, lecz inaczej zbudowanych. gammarom_alt1 Ten komponent opisany jest bezpośrednio przy pomocy tablicy prawdy (with address select q <= when ,...). Zawartość sekcji architecture w pliku vhdl została wygenerowana arkuszem kalkulacyjnym (plik Obliczenia do układu.ods zakładka Tablica prawdy w VHDL ). gammarom_alt2 Ten komponent ma każdy bit wyjściowy opisany równaniem wygenerowanym przez program Espresso. Plik wejściowy dla programu został również utworzony automatycznie (plik Obliczenia do układu.ods zakładka Tablica prawdy dla ESPRESSO ). Program Espresso użyty został z parametrem -oeqntott, dzięki czemu wyjściowy plik zawierał gotowe równania. Po zastąpieniu odpowiednich znaków w równaniach ( & na and, na or...), wynik można było użyć w VHDLu. Porównanie rozwiązań gammarom i alternatyw Niezależnie od rodzaju komponentu, czas potrzebny na obliczenie wartości funkcji gamma jest dosyć zbliżony, jednak ze względu na liczbę potrzebnych komórek logicznych znaczną przewagę ma układ gammarom. Porównanie maksymalnych czasów oraz liczby komórek (dla całego modułu gamma) podano w tabeli: Komponent Maksymalny czas [ns] Liczba komórek logicznych gammarom 112,3 221 gammarom_alt1 120,1 540 gammarom_alt2 141,5 801

3 Automat odbiorczo-nadawczy USB entity automatusb Do komunikacji między komputerem a płytką wykorzystany został moduł USB (FTDI FT245BM) wpinany do płytki. Jego obsługa jest dosyć skomplikowana, dlatego też do komunikacji z tym układem został wykorzystany dodatkowy automat. Wejścia i wyjścia do komunikacja z układem FT245BM Wejścia RXT oraz TXE Wejścia informujące o stanie układu FT245BM. RXT związane jest z odczytem danych, zaś TXE z wysyłaniem. Wyjścia RD oraz WR Wyjścia, przez które układ FT245BM jest informowany o chęci odczytu (RD) bądź wysłania (WR) danych. Port dwukierunkowy data Port typu inout, z którego dane są odczytywane przy odbiorze danych i do którego są zapisywane przy wysyłaniu. Układ FT245BM pozwala na odczyt/wysłanie jednocześnie całych bajtów (a więc po 8 bitów). Pozostałe wejścia clk zegar Port, do którego powinien zostać podłączony zegar. Automat reaguje na rosnące zbocze zegara. rst reset Wejście, które w przypadku pojawienia się zera powoduje przywrócenie całego automatu do stanu oczekiwania (idle). wrstart start zapisu Wejście, które w przypadku pojawienia się jedynki powoduje rozpoczęcie wysyłania danych przez układ. rdstart start odczytu Wejście, które w przypadku pojawienia się jedynki powoduje rozpoczęcie odbioru danych przez układ. wrbyte dane do zapisu Wejście 8-bitowe zawierające dane, które mają zostać wysłane. Pozostałe wyjścia rdready odczyt zakończony Wyjście sygnalizujące stanem wysokim, że odbieranie zostało zakończone. wrready zapis zakończony Wyjście sygnalizujące stanem wysokim, że wysyłanie zostało zakończone.

4 rdbyte dane odebrane Wyjście 8-bitowe zawierające dane, które zostały odebrane. Na wyjściu podtrzymywany jest ostatnio odebrany bajt aż do odczytu kolejnego. Odbieranie danych Aby odebrać dane, automat sterujący powinien wykonać następujące kroki: 1. Ustawić rdstart = '1' (tylko aby rozpocząć, dalsze kroki wykonywane są niezależnie od stanu rdstart). 2. Oczekiwać na sygnał rdready = '1'. 3. Odczytać odebrane dane z rdbyte. Uwaga: rdbyte nie zmieni swojej wartości, dopóki ponownie nie uruchomimy odbierania (nawet po resecie). Wysyłanie danych Aby wysłać dane, automat sterujący powinien wykonać następujące kroki: 1. Ustawić dane do wysłania w wrbyte. 2. Ustawić wrstart = '1' (również jedynie, aby rozpocząć). 3. Oczekiwać na sygnał wrready = '1'. Dopiero w momencie można zmienić wartość ustawioną na wrbyte. Uwaga: Wysyłanie i odbieranie realizowane są wewnętrznie przez 2 odrębne automaty, więc nie należy przerywać cyklu odbioru lub nadawania uruchamiając drugi automat. Uwaga: Oba automaty sygnał gotowości przekazują w momencie, gdy są w stanie gotowości. Można więc czekać najpierw na stan gotowości, a dopiero następnie wysyłać. Działanie automatu Automat jest złożony z 3 prostych elementów osobnego automatu nadawczego i odbiorczego oraz bufora trójstanowego, który obsługuje przełączanie portu data między jednym a drugim automatem. Automat odbiorczy i nadawczy zostały zrealizowane identycznie jak w przykładach dr inż. Mariusza Rawskiego z wykładów (przykład USBRam w VHDL-u). Modyfikacje w stosunku do przykładu USBRam: Automaty nadawczy i odbiorczy nie zajmują się zapisem/odczytem danych z pamięci, więc usunięto stan, w którym inkrementowano licznik adresów, zapisywano dane itp. Dla większej przejrzystości podzielono całość na osobne pliki. Usunięto stany oczekiwania (przykład z wykładu był projektowany dla płytki z zegarem 66MHz, nasz zegar ma częstotliwość tylko 25,175MHz, zatem stany oczekiwania można było ograniczyć w odbiorniku do 2, w nadajniku do 1).

5 Automat sterujący gammamodule Automatem sterującym działaniem całego układu jest automat gammamodule. Są do niego dołączone wszystkie przedstawione wcześniej komponenty oraz komponent wygenerowany funkcją MegaWizard gammaram, a więc pamięć układu. Wejścia i wyjścia układu Układ porty związane z układem FT245BM te same, które zostały wymienione w opisie automatu USB. Poza tym jedyne wejścia to: clk zegar Wejście, do którego powinien zostać podłączony zegar. Automat reaguje na rosnące zbocze. rst reset Wejście, które przy stanie '0' powoduje reset automatu, czyli przejście do pierwszego stanu. Resetowanie zrealizowane jest asynchronicznie. Pin ten powinien zostać podłączony do jednego z przycisków na płytce. Ważniejsze sygnały wewnętrzne i rejestry gammar, gammag, gammab 8-bitowe wartości parametrów gamma dla składowych odpowiednio: czerwieni, zieleni, błękitu. pixelmax 8-bitowa liczba przechowująca liczbę wszystkich pikseli, które powinny zostać przetworzone, minus 1. addresscnt 10-bitowy licznik przechowujący adres komórki w komponencie gammaram, do którego dane są zapisywane, lub z którego są czytane. pixelcnt 8-bitowy licznik przetworzonych już pikseli. Po doliczeniu do pixelmax i ostatniej składowej, układ przechodzi z trybu odczytu do czytania lub odwrotnie. rgbcnt 4-bitowy licznik składowych. Wartość 00 (=0) odpowiada czerwieni, 01 (=1) zieleni, a 10 (=2) błękitowi. Według tego licznika układ ustala, którą wartość ma podać jako parametr do komponentu gamma (gammar, gammag, czy gammab).

6 Schemat działania W trakcie działania automat przechodzi przez następujące stany (jeżeli w punkcie nie opisano inaczej, po każdym stanie automat przechodzi do kolejnego niżej na liście): 1. Stan reset: Reset licznika adresów, licznika pikseli i licznika składowych. 2. Odbiór liczby pikseli wysyłanych naraz (pixelmax): 1. Stan start_rcv_pixelcnt: Uruchomienie automatu odbiorczego (rdstart <= '1'). 2. Stan wait_rcv_pixelcnt: Jeżeli zakończono odczyt (rdready = '1'), przejście do stanu start_rcv_gammar, w przeciwnym razie pozostanie w tym samym 3. Odbiór parametru gamma dla składowej czerwonej. 1. Stan start_rcv_gammar: Uruchomienie automatu odbiorczego (rdstart <= '1'), zapisanie wartości ostatnio odebranej z rdbyte do pixelmax. 2. Stan wait_rcv_gammar: Jeżeli zakończono odczyt (rdready = '1'), do stanu start_rcv_gammag, w przeciwnym razie pozostanie w tym samym 4. Analogicznie odebranie parametru gamma dla składowej zielonej. 1. Stan start_rcv_gammag: Uruchomienie automatu odbiorczego (rdstart <= '1'), zapisanie wartości ostatnio odebranej z rdbyte do gammar. 2. Stan wait_rcv_gammag: Jeżeli zakończono odczyt (rdready = '1'), do stanu start_rcv_gammab, w przeciwnym razie pozostanie w tym samym 5. Analogicznie odebranie parametru gamma dla składowej niebieskiej. 1. Stan start_rcv_gammab: Uruchomienie automatu odbiorczego (rdstart <= '1'), zapisanie wartości ostatnio odebranej z rdbyte do gammag. 2. Stan wait_rcv_gammab: Jeżeli zakończono odczyt (rdready = '1'), do stanu save_gammab, w przeciwnym razie pozostanie w tym samym 3. Stan save_gammab: Specjalny stan, w którym do gammab zapisywana jest ostatnio odebrana wartość z rdbyte. 6. Odbiór wartości, dla której powinna zostać obliczona funkcja gamma. 1. Stan start_rcv_val: Uruchomienie automatu odbiorczego (rdstart <= '1'). 2. Stan wait_rcv_val: Jeżeli zakończono odczyt (rdready = '1'), do stanu calc1, w przeciwnym razie pozostanie w tym samym 7. Stan calc1: Oczekiwanie na obliczenie wartości funkcji. 8. Stan calc2: Dalsze oczekiwanie (należy odczekać około 45ns 2 stany to około 80ns)

7 9. Stan save_value: Ustawienie '1' na wejściu we (write-enable) komponentu gammaram i zapis wyniku obliczenia. 10.Stan next_value: W przypadku, gdy licznik składowych ma wartość 10 (= 2), następuje sprawdzenie, czy przetworzono już wszystkie piksele (pixelmax = pixelcnt). Jeżeli tak, to wszystkie liczniki zostają zerowane i następuje przejście do stanu start_send. Jeżeli nie, licznik adresów oraz pikseli jest inkrementowany, a licznik składowych zostaje ustawiony na 00 (= 0), a automat przechodzi do stanu start_rcv_val. W przypadku, gdy licznik składowych ma wartość inną niż 10, następuje inkrementacja licznika składowych i adresów, a automat przechodzi do stanu start_rcv_val. 11.Wysyłanie wyniku funkcji: 1. Stan start_send_result: Uruchomienie automatu nadawczego (wrstart <= '1'). 2. Stan wait_send_result: Jeżeli zakończono wysyłanie (wrready = '1'), następuje przejście do stanu next_result, w przeciwnym razie pozostanie w tym samym 12.Stan next_result: Stan analogiczny do stanu next_value, jednak przechodzimy tym razem odpowiednio do stanu reset lub start_send. Przełączanie odpowiednich wyjść (np. gammaval) następuje poza automatem na podstawie wartości w licznikach.

Hardware mikrokontrolera X51

Hardware mikrokontrolera X51 Hardware mikrokontrolera X51 Ryszard J. Barczyński, 2016 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Hardware mikrokontrolera X51 (zegar)

Bardziej szczegółowo

Transmisja danych cyfrowych

Transmisja danych cyfrowych ransmisja danych cyfrowych Mariusz Rawski rawski@tele.pw.edu.pl www.zpt.tele.pw.edu.pl/~rawski/ Mariusz Rawski 1 łytka laboratoryjna U1 Education Board Mariusz Rawski 2 Standard RS 232 Standard RS-232

Bardziej szczegółowo

Implementacja algorytmu szyfrującego

Implementacja algorytmu szyfrującego Warszawa 25.01.2008 Piotr Bratkowski 4T2 Przemysław Tytro 4T2 Dokumentacja projektu Układy Cyfrowe Implementacja algorytmu szyfrującego serpent w układzie FPGA 1. Cele projektu Celem projektu jest implementacja

Bardziej szczegółowo

Wyświetlacz alfanumeryczny LCD zbudowany na sterowniku HD44780

Wyświetlacz alfanumeryczny LCD zbudowany na sterowniku HD44780 Dane techniczne : Wyświetlacz alfanumeryczny LCD zbudowany na sterowniku HD44780 a) wielkość bufora znaków (DD RAM): 80 znaków (80 bajtów) b) możliwość sterowania (czyli podawania kodów znaków) za pomocą

Bardziej szczegółowo

Projekt MARM. Dokumentacja projektu. Łukasz Wolniak. Stacja pogodowa

Projekt MARM. Dokumentacja projektu. Łukasz Wolniak. Stacja pogodowa Projekt MARM Dokumentacja projektu Łukasz Wolniak Stacja pogodowa 1. Cel projektu Celem projektu było opracowanie urządzenia do pomiaru temperatury, ciśnienia oraz wilgotności w oparciu o mikrokontroler

Bardziej szczegółowo

Podział układów cyfrowych. rkijanka

Podział układów cyfrowych. rkijanka Podział układów cyfrowych rkijanka W zależności od przyjętego kryterium możemy wyróżnić kilka sposobów podziału układów cyfrowych. Poniżej podam dwa z nich związane ze sposobem funkcjonowania układów cyfrowych

Bardziej szczegółowo

1.2 Schemat blokowy oraz opis sygnałów wejściowych i wyjściowych

1.2 Schemat blokowy oraz opis sygnałów wejściowych i wyjściowych Dodatek A Wyświetlacz LCD. Przeznaczenie i ogólna charakterystyka Wyświetlacz ciekłokrystaliczny HY-62F4 zastosowany w ćwiczeniu jest wyświetlaczem matrycowym zawierającym moduł kontrolera i układ wykonawczy

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp:

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp: Licznik elektroniczny - układ cyfrowy, którego zadaniem jest zliczanie wystąpień sygnału zegarowego. Licznik złożony

Bardziej szczegółowo

1 Moduł Modbus ASCII/RTU 3

1 Moduł Modbus ASCII/RTU 3 Spis treści 1 Moduł Modbus ASCII/RTU 3 1.1 Konfigurowanie Modułu Modbus ASCII/RTU............. 3 1.1.1 Lista elementów Modułu Modbus ASCII/RTU......... 3 1.1.2 Konfiguracja Modułu Modbus ASCII/RTU...........

Bardziej szczegółowo

Spis treści. 1 Moduł Modbus TCP 4

Spis treści. 1 Moduł Modbus TCP 4 Spis treści 1 Moduł Modbus TCP 4 1.1 Konfigurowanie Modułu Modbus TCP................. 4 1.1.1 Lista elementów Modułu Modbus TCP............ 4 1.1.2 Konfiguracja Modułu Modbus TCP.............. 5 1.1.3

Bardziej szczegółowo

Rozdział ten zawiera informacje na temat zarządzania Modułem Modbus TCP oraz jego konfiguracji.

Rozdział ten zawiera informacje na temat zarządzania Modułem Modbus TCP oraz jego konfiguracji. 1 Moduł Modbus TCP Moduł Modbus TCP daje użytkownikowi Systemu Vision możliwość zapisu oraz odczytu rejestrów urządzeń, które obsługują protokół Modbus TCP. Zapewnia on odwzorowanie rejestrów urządzeń

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Układy synchroniczne Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 26 października 2015 Co to jest układ sekwencyjny? W układzie sekwencyjnym,

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Projektowanie układów na schemacie

Projektowanie układów na schemacie Projektowanie układów na schemacie Przedstawione poniżej wskazówki mogą być pomocne przy projektowaniu układach na poziomie schematu. Stałe wartości logiczne Aby podłączyć wejście do stałej wartości logicznych

Bardziej szczegółowo

interfejs szeregowy wyświetlaczy do systemów PLC

interfejs szeregowy wyświetlaczy do systemów PLC LDN SBCD interfejs szeregowy wyświetlaczy do systemów PLC SEM 08.2003 Str. 1/5 SBCD interfejs szeregowy wyświetlaczy do systemów PLC INSTRUKCJA OBSŁUGI Charakterystyka Interfejs SBCD w wyświetlaczach cyfrowych

Bardziej szczegółowo

S Instrukcje programowania instrukcje obsługi Ethernetu

S Instrukcje programowania instrukcje obsługi Ethernetu S7-1200 Instrukcje programowania instrukcje obsługi Ethernetu Kontynuujemy opis instrukcji programowania sterowników S7-1200. W tym miesiącu skupiamy się na prezentacji i omówieniu instrukcji obsługujących

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA

TECHNIKA MIKROPROCESOROWA LABORATORIUM TECHNIKA MIKROPROCESOROWA Port transmisji szeregowej USART MCS'51 Opracował: Tomasz Miłosławski 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się ze sposobami komunikacji mikrokontrolera

Bardziej szczegółowo

Programowanie Mikrokontrolerów

Programowanie Mikrokontrolerów Programowanie Mikrokontrolerów Wyświetlacz alfanumeryczny oparty na sterowniku Hitachi HD44780. mgr inż. Paweł Poryzała Zakład Elektroniki Medycznej Alfanumeryczny wyświetlacz LCD Wyświetlacz LCD zagadnienia:

Bardziej szczegółowo

Technika Mikroprocesorowa

Technika Mikroprocesorowa Technika Mikroprocesorowa Dariusz Makowski Katedra Mikroelektroniki i Technik Informatycznych tel. 631 2648 dmakow@dmcs.pl http://neo.dmcs.p.lodz.pl/tm 1 System mikroprocesorowy? (1) Magistrala adresowa

Bardziej szczegółowo

1 Moduł Neuronu Cyfrowego

1 Moduł Neuronu Cyfrowego 1 Moduł Neuronu Cyfrowego Moduł Neuronu Cyfrowego daje użytkownikowi Systemu Vision możliwość obsługi fizycznych Neuronów Cyfrowych. Dzięki temu możliwe jest sterowanie zewnętrznymi urządzeniami wykonawczymi

Bardziej szczegółowo

Licznik rewersyjny MD100 rev. 2.48

Licznik rewersyjny MD100 rev. 2.48 Licznik rewersyjny MD100 rev. 2.48 Instrukcja obsługi programu PPH WObit mgr inż. Witold Ober 61-474 Poznań, ul. Gruszkowa 4 tel.061/8350-620, -800 fax. 061/8350704 e-mail: wobit@wobit.com.pl Instrukcja

Bardziej szczegółowo

dokument DOK 02-05-12 wersja 1.0 www.arskam.com

dokument DOK 02-05-12 wersja 1.0 www.arskam.com ARS3-RA v.1.0 mikro kod sterownika 8 Linii I/O ze zdalną transmisją kanałem radiowym lub poprzez port UART. Kod przeznaczony dla sprzętu opartego o projekt referencyjny DOK 01-05-12. Opis programowania

Bardziej szczegółowo

3.2. Zegar/kalendarz z pamięcią statyczną RAM 256 x 8

3.2. Zegar/kalendarz z pamięcią statyczną RAM 256 x 8 3.2. Zegar/kalendarz z pamięcią statyczną RAM 256 x 8 Układ PCF 8583 jest pobierającą małą moc, 2048 bitową statyczną pamięcią CMOS RAM o organizacji 256 x 8 bitów. Adresy i dane są przesyłane szeregowo

Bardziej szczegółowo

Organizacja typowego mikroprocesora

Organizacja typowego mikroprocesora Organizacja typowego mikroprocesora 1 Architektura procesora 8086 2 Architektura współczesnego procesora 3 Schemat blokowy procesora AVR Mega o architekturze harwardzkiej Wszystkie mikroprocesory zawierają

Bardziej szczegółowo

Uniwersalny asynchroniczny. UART Universal Asynchronous Receier- Transmiter

Uniwersalny asynchroniczny. UART Universal Asynchronous Receier- Transmiter UART Universal Asynchronous Receier- Transmiter Cel projektu: Zbudowanie układu transmisji znaków z komputera na wyświetlacz zamontowany na płycie Spartan-3AN, poprzez łacze RS i program TeraTerm. Laboratorium

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 7 (2h) Obsługa urządzenia peryferyjnego z użyciem pamięci w VHDL. Instrukcja do zajęć laboratoryjnych z przedmiotu

Bardziej szczegółowo

rh-serwer 2.0 LR Sterownik główny (serwer) systemu F&Home RADIO. Wersja LR powiększony zasięg.

rh-serwer 2.0 LR Sterownik główny (serwer) systemu F&Home RADIO. Wersja LR powiększony zasięg. KARTA KATALOGOWA rh-serwer.0 LR Sterownik główny (serwer) systemu F&Home RADIO. Wersja LR powiększony zasięg. rh-serwer.0 LR jest centralnym urządzeniem sterującym elementami Systemu F&Home Radio. Zarządza

Bardziej szczegółowo

Instrukcja do ćwiczenia : Matryca komutacyjna

Instrukcja do ćwiczenia : Matryca komutacyjna Instrukcja do ćwiczenia : Matryca komutacyjna 1. Wstęp Każdy kanał w systemach ze zwielokrotnieniem czasowym jest jednocześnie określany przez swoją współrzędną czasową T i współrzędną przestrzenną S.

Bardziej szczegółowo

Sprawozdanie z projektu MARM. Część druga Specyfikacja końcowa. Prowadzący: dr. Mariusz Suchenek. Autor: Dawid Kołcz. Data: r.

Sprawozdanie z projektu MARM. Część druga Specyfikacja końcowa. Prowadzący: dr. Mariusz Suchenek. Autor: Dawid Kołcz. Data: r. Sprawozdanie z projektu MARM Część druga Specyfikacja końcowa Prowadzący: dr. Mariusz Suchenek Autor: Dawid Kołcz Data: 01.02.16r. 1. Temat pracy: Układ diagnozujący układ tworzony jako praca magisterska.

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35 LABORATORIUM TECHNIKA CYFROWA Pamięci Rev.1.35 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z projektowania modułów sterowania oraz kontroli pamięci 2. Kolokwium Kolokwium wstępne sprawdzające

Bardziej szczegółowo

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY WFiIS LABORATORIUM Z ELEKTRONIKI Imię i nazwisko: 1. 2. TEMAT: ROK GRUPA ZESPÓŁ NR ĆWICZENIA Data wykonania: Data oddania: Zwrot do poprawy: Data oddania: Data zliczenia: OCENA CEL ĆWICZENIA Ćwiczenie

Bardziej szczegółowo

ARS3-MODEM dokumentacja modemu radiowego do lokalnej transmisji danych w wolnych pasmach 433MHz i 868MHz

ARS3-MODEM dokumentacja modemu radiowego do lokalnej transmisji danych w wolnych pasmach 433MHz i 868MHz ARS3-MODEM dokumentacja modemu radiowego do lokalnej transmisji danych w wolnych pasmach 433MHz i 868MHz dokument DOK 04-05-12 wersja 1.0 arskam.com www.arskam.com 1 firma ARIES Warszawa Polska 1. Zastosowania

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

Instytut Teleinformatyki

Instytut Teleinformatyki Instytut Teleinformatyki Wydział Fizyki, Matematyki i Informatyki Politechnika Krakowska Mikroprocesory i Mikrokontrolery Dostęp do portów mikrokontrolera ATmega32 język C laboratorium: 10 autorzy: dr

Bardziej szczegółowo

CompactPCI. PCI Industrial Computers Manufacturers Group (PICMG)

CompactPCI. PCI Industrial Computers Manufacturers Group (PICMG) PCI Industrial Computers Manufacturers Group (PICMG) nowy standard; nowa jakość komputerów realizujących krytyczne zadania w systemach pracujących w trudnych warunkach; Baza specyfikacji: format kaset

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA II

TECHNIKA MIKROPROCESOROWA II Akademia Górniczo-Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki TECHNIKA MIKROPROCESOROWA II LAB 6 Moduł UART - współpraca z komputerem poprzez BlueTooth Mariusz Sokołowski

Bardziej szczegółowo

Kabelki stykowe. Szybkie łączenie elementów elektronicznych. Żywe kolory ułatwiają utrzymanie porządku w układzie.

Kabelki stykowe. Szybkie łączenie elementów elektronicznych. Żywe kolory ułatwiają utrzymanie porządku w układzie. Kabelki stykowe Szybkie łączenie elementów elektronicznych Żywe kolory ułatwiają utrzymanie porządku w układzie. Tradycyjnie: Czarny/niebieski uziemienie (GND) Czerwony/pomarańczowy/brązowy zasilanie (VCC)

Bardziej szczegółowo

SML3 październik

SML3 październik SML3 październik 2005 16 06x_EIA232_4 Opis ogólny Moduł zawiera transceiver EIA232 typu MAX242, MAX232 lub podobny, umożliwiający użycie linii RxD, TxD, RTS i CTS interfejsu EIA232 poprzez złącze typu

Bardziej szczegółowo

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej Struktura stanowiska laboratoryjnego Na rysunku 1.1 pokazano strukturę stanowiska laboratoryjnego Z80 z interfejsem częstościomierza- czasomierz PFL 21/22. Rys.1.1. Struktura stanowiska. Interfejs częstościomierza

Bardziej szczegółowo

Programowanie w językach asemblera i C

Programowanie w językach asemblera i C Programowanie w językach asemblera i C Mariusz NOWAK Programowanie w językach asemblera i C (1) 1 Dodawanie dwóch liczb - program Napisać program, który zsumuje dwie liczby. Wynik dodawania należy wysłać

Bardziej szczegółowo

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI Rev.1.0 1. Wprowadzenie Celem ćwiczenia

Bardziej szczegółowo

1 Moduł Modbus ASCII/RTU

1 Moduł Modbus ASCII/RTU 1 Moduł Modbus ASCII/RTU Moduł Modbus ASCII/RTU daje użytkownikowi Systemu Vision możliwość komunikacji z urządzeniami za pomocą protokołu Modbus. Moduł jest konfigurowalny w taki sposób, aby umożliwiał

Bardziej szczegółowo

INSTRUKCJA instalacji interfejsu USB-RS422/485

INSTRUKCJA instalacji interfejsu USB-RS422/485 INSTRUKCJA instalacji interfejsu USB-RS422/485 Interfejs USB-RS422/485 jest urządzeniem pozwalającym na podłączenie poprzez port USB komputera (w wersji USB 1,2 lub 2.0) do urządzeń wyposażonych w złącze

Bardziej szczegółowo

MOBOT-RCR v2 miniaturowe moduły radiowe Bezprzewodowa transmisja UART

MOBOT-RCR v2 miniaturowe moduły radiowe Bezprzewodowa transmisja UART MOBOT-RCR v2 miniaturowe moduły radiowe Bezprzewodowa transmisja UART Własności MOBOT-RCR v2a: - pasmo komunikacji: ISM 433MHz lub 868MHz - zasięg 50m 300m * - zasilanie: z USB, - interfejs wyjściowy:

Bardziej szczegółowo

LABORATORIUM PROCESORY SYGNAŁOWE W AUTOMATYCE PRZEMYSŁOWEJ. Przetwornik ADC procesora sygnałowego F/C240 i DAC C240 EVM

LABORATORIUM PROCESORY SYGNAŁOWE W AUTOMATYCE PRZEMYSŁOWEJ. Przetwornik ADC procesora sygnałowego F/C240 i DAC C240 EVM LABORATORIUM PROCESORY SYGNAŁOWE W AUTOMATYCE PRZEMYSŁOWEJ Przetwornik ADC procesora sygnałowego F/C240 i DAC C240 EVM Strona 1 z 7 Opracował mgr inż. Jacek Lis (c) ZNE 2004 1.Budowa przetwornika ADC procesora

Bardziej szczegółowo

Struktura i działanie jednostki centralnej

Struktura i działanie jednostki centralnej Struktura i działanie jednostki centralnej ALU Jednostka sterująca Rejestry Zadania procesora: Pobieranie rozkazów; Interpretowanie rozkazów; Pobieranie danych Przetwarzanie danych Zapisywanie danych magistrala

Bardziej szczegółowo

(57) Tester dynamiczny współpracujący z jednej strony (13) B1 (12) OPIS PATENTOWY (19) PL (11) PL B1. (54) Tester dynamiczny

(57) Tester dynamiczny współpracujący z jednej strony (13) B1 (12) OPIS PATENTOWY (19) PL (11) PL B1. (54) Tester dynamiczny RZECZPOSPOLITA POLSKA (12) OPIS PATENTOWY (19) PL (11) 166151 (13) B1 Urząd Patentowy Rzeczypospolitej Polskiej (21) Numer zgłoszenia: 2 9 0 5 8 3 (22) Data zgłoszenia: 06.06.1991 (51) IntCl5: G01R 31/28

Bardziej szczegółowo

2.1 Porównanie procesorów

2.1 Porównanie procesorów 1 Wstęp...1 2 Charakterystyka procesorów...1 2.1 Porównanie procesorów...1 2.2 Wejścia analogowe...1 2.3 Termometry cyfrowe...1 2.4 Wyjścia PWM...1 2.5 Odbiornik RC5...1 2.6 Licznik / Miernik...1 2.7 Generator...2

Bardziej szczegółowo

INSTRUKCJA instalacji interfejsu USB-RS422/485

INSTRUKCJA instalacji interfejsu USB-RS422/485 INSTRUKCJA instalacji interfejsu USB-RS422/485 Interfejs USB-RS422/485 jest urządzeniem pozwalającym na podłączenie poprzez port USB komputera (w wersji USB 1,2 lub 2.0) do urządzeń wyposażonych w złącze

Bardziej szczegółowo

Pracownia Transmisji Danych, Instytut Fizyki UMK, Toruń. Instrukcja do ćwiczenia nr 10. Transmisja szeregowa sieciami energetycznymi

Pracownia Transmisji Danych, Instytut Fizyki UMK, Toruń. Instrukcja do ćwiczenia nr 10. Transmisja szeregowa sieciami energetycznymi Pracownia Transmisji Danych, Instytut Fizyki UMK, Toruń Instrukcja do ćwiczenia nr 10 Transmisja szeregowa sieciami energetycznymi I. Cel ćwiczenia poznanie praktycznego wykorzystania standardu RS232C

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

Programowanie Niskopoziomowe

Programowanie Niskopoziomowe Programowanie Niskopoziomowe Wykład 3: Architektura procesorów x86 Dr inż. Marek Mika Państwowa Wyższa Szkoła Zawodowa im. Jana Amosa Komeńskiego W Lesznie Plan Pojęcia ogólne Budowa mikrokomputera Cykl

Bardziej szczegółowo

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne Spis treści 5 Spis treœci Co to jest mikrokontroler? Wprowadzenie... 11 Budowa systemu komputerowego... 12 Wejścia systemu komputerowego... 12 Wyjścia systemu komputerowego... 13 Jednostka centralna (CPU)...

Bardziej szczegółowo

Projektowanie Urządzeń Cyfrowych

Projektowanie Urządzeń Cyfrowych Projektowanie Urządzeń Cyfrowych Laboratorium 2 Przykład prostego ALU Opracował: mgr inż. Leszek Ciopiński Wstęp: Magistrale: Program MAX+plus II umożliwia tworzenie magistral. Magistrale są to grupy przewodów

Bardziej szczegółowo

Parametryzacja przetworników analogowocyfrowych

Parametryzacja przetworników analogowocyfrowych Parametryzacja przetworników analogowocyfrowych wersja: 05.2015 1. Cel ćwiczenia Celem ćwiczenia jest zaprezentowanie istoty działania przetworników analogowo-cyfrowych (ADC analog-to-digital converter),

Bardziej szczegółowo

MIKROPROCESORY architektura i programowanie

MIKROPROCESORY architektura i programowanie Struktura portów (CISC) Port to grupa (zwykle 8) linii wejścia/wyjścia mikrokontrolera o podobnych cechach i funkcjach Większość linii we/wy może pełnić dwie lub trzy rozmaite funkcje. Struktura portu

Bardziej szczegółowo

MIKROPROCESORY architektura i programowanie

MIKROPROCESORY architektura i programowanie Systematyczny przegląd. (CISC) SFR umieszczane są w wewnętrznej pamięci danych (80H 0FFH). Adresowanie wyłącznie bezpośrednie. Rejestry o adresach podzielnych przez 8 są też dostępne bitowo. Adres n-tego

Bardziej szczegółowo

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch)

Inwerter logiczny. Ilustracja 1: Układ do symulacji inwertera (Inverter.sch) DSCH2 to program do edycji i symulacji układów logicznych. DSCH2 jest wykorzystywany do sprawdzenia architektury układu logicznego przed rozpoczęciem projektowania fizycznego. DSCH2 zapewnia ergonomiczne

Bardziej szczegółowo

Systemy i Sieci Telekomunikacyjne laboratorium. Modulacja amplitudy

Systemy i Sieci Telekomunikacyjne laboratorium. Modulacja amplitudy Systemy i Sieci Telekomunikacyjne laboratorium Modulacja amplitudy 1. Cel ćwiczenia: Celem części podstawowej ćwiczenia jest zbudowanie w środowisku GnuRadio kompletnego, funkcjonalnego odbiornika AM.

Bardziej szczegółowo

Projektowanie Systemów Wbudowanych

Projektowanie Systemów Wbudowanych Projektowanie Systemów Wbudowanych Podstawowe informacje o płycie DE2 Autorzy: mgr inż. Dominik Bąk i mgr inż. Leszek Ciopiński 1. Płyta DE2 Rysunek 1. Widok płyty DE2 z zaznaczonymi jej komponentami.

Bardziej szczegółowo

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki.

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki. Literatura 1. D. Gajski, Principles of Digital Design, Prentice- Hall, 1997 2. C. Zieliński, Podstawy projektowania układów cyfrowych, PWN, Warszawa 2003 3. G. de Micheli, Synteza i optymalizacja układów

Bardziej szczegółowo

F&F Filipowski Sp. J Pabianice, ul. Konstantynowska 79/81 tel KARTA KATALOGOWA

F&F Filipowski Sp. J Pabianice, ul. Konstantynowska 79/81 tel KARTA KATALOGOWA 95-00 Pabianice, ul. Konstantynowska 79/81 tel. +48 4 15 3 83 www.fif.com.pl KARTA KATALOGOWA rh-ir16 LR Nadajnik / odbiornik podczerwieni systemu F&Home RADIO. Wersja LR powiększony zasięg. 95-00 Pabianice,

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja. do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1.

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja. do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1. Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1 PAMIĘCI SZEREGOWE EEPROM Ćwiczenie 3 Opracował: dr inŝ.

Bardziej szczegółowo

Bufor danych USB jednorazowego użytku EBI 330-T30/EBI 330-T85 Nr produktu

Bufor danych USB jednorazowego użytku EBI 330-T30/EBI 330-T85 Nr produktu INSTRUKCJA OBSŁUGI Bufor danych USB jednorazowego użytku EBI 330-T30/EBI 330-T85 Nr produktu 000101609 Strona 1 z 5 Bufor danych USB jednorazowego użytku EBI 330-T30/EBI 330-T85 Opis Bufor danych serii

Bardziej szczegółowo

Systemy wbudowane. Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej. Witold Kozłowski

Systemy wbudowane. Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej. Witold Kozłowski Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej Systemy wbudowane Witold Kozłowski Zakład Fizyki i Technologii Struktur Nanometrowych 90-236 Łódź, Pomorska 149/153 https://std2.phys.uni.lodz.pl/mikroprocesory/

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Worek różności jak dobrać się do gotowców w Spartanach? Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 12 kwietnia 2011 Spis treści Wbudowane

Bardziej szczegółowo

Komunikacja pomiędzy S7-1200 i S7-300/400 przez Ethernet (1)

Komunikacja pomiędzy S7-1200 i S7-300/400 przez Ethernet (1) Komunikacja pomiędzy AUTOMATYKA S7-1200 i S7-300/400 I MECHATRONIKA przez Ethernet Komunikacja pomiędzy S7-1200 i S7-300/400 przez Ethernet (1) W artykule przedstawiamy rozwiązanie komunikacji sieciowej

Bardziej szczegółowo

Temat: Pamięci. Programowalne struktury logiczne.

Temat: Pamięci. Programowalne struktury logiczne. Temat: Pamięci. Programowalne struktury logiczne. 1. Pamięci są układami służącymi do przechowywania informacji w postaci ciągu słów bitowych. Wykonuje się jako układy o bardzo dużym stopniu scalenia w

Bardziej szczegółowo

m e d i a s e r v i c e Moduł kamery JPEG z komunikacją szeregową CJ0706A

m e d i a s e r v i c e Moduł kamery JPEG z komunikacją szeregową CJ0706A 1. Opis ogólny: /XXX/YYY (XXX przyjmować może wartości 232, 485 lub TTL, zaś YYY, to 090 lub 120) jest wysokozintegrowaną płytką, stanowiącą bazę do budowy systemów współpracujących z urządzeniami PDA,

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH SPRAWOZDANIE Temat: Projekt notesu elektronicznego w języku VHDL przy użyciu układów firmy

Bardziej szczegółowo

Wstęp do informatyki. System komputerowy. Magistrala systemowa. Architektura komputera. Cezary Bolek

Wstęp do informatyki. System komputerowy. Magistrala systemowa. Architektura komputera. Cezary Bolek Wstęp do informatyki Architektura komputera Cezary Bolek cbolek@ki.uni.lodz.pl Uniwersytet Łódzki Wydział Zarządzania Katedra Informatyki System komputerowy systemowa (System Bus) Pamięć operacyjna ROM,

Bardziej szczegółowo

ćw. Symulacja układów cyfrowych Data wykonania: Data oddania: Program SPICE - Symulacja działania układów liczników 7490 i 7493

ćw. Symulacja układów cyfrowych Data wykonania: Data oddania: Program SPICE - Symulacja działania układów liczników 7490 i 7493 Laboratorium Komputerowe Wspomaganie Projektowania Układów Elektronicznych Jarosław Gliwiński, Paweł Urbanek 1. Cel ćwiczenia ćw. Symulacja układów cyfrowych Data wykonania: 16.05.08 Data oddania: 30.05.08

Bardziej szczegółowo

Proste układy sekwencyjne

Proste układy sekwencyjne Proste układy sekwencyjne Układy sekwencyjne to takie w których niektóre wejścia są sterowany przez wyjściaukładu( zawierają sprzężenie zwrotne ). Układy sekwencyjne muszą zawierać elementy pamiętające

Bardziej szczegółowo

Wyjście do drukarki Centronix

Wyjście do drukarki Centronix Wyjście do drukarki Centronix Model M-0 do Dydaktycznego Systemu Mikroprocesorowego DSM-1 Instrukcja uŝytkowania Copyright 2007 by MicroMade All rights reserved Wszelkie prawa zastrzeŝone MicroMade Gałka

Bardziej szczegółowo

INSTRUKCJA UŻYTKOWNIKA PROGRAMU

INSTRUKCJA UŻYTKOWNIKA PROGRAMU Biatel S.A. Plac Piłsudskiego 1 00-078 Warszawa INSTRUKCJA UŻYTKOWNIKA PROGRAMU Konfigurator CellBOX-UxR telemetryczny Białystok 2007-03-22 Wersja dokumentu 1.3 Opracował: Kozłowski Marcin 1 HISTORIA DOKUMENTU

Bardziej szczegółowo

Magistrala systemowa (System Bus)

Magistrala systemowa (System Bus) Cezary Bolek cbolek@ki.uni.lodz.pl Uniwersytet Łódzki Wydział Zarządzania Katedra Informatyki systemowa (System Bus) Pamięć operacyjna ROM, RAM Jednostka centralna Układy we/wy In/Out Wstęp do Informatyki

Bardziej szczegółowo

Programowanie mikrokontrolerów. 8 listopada 2007

Programowanie mikrokontrolerów. 8 listopada 2007 Programowanie mikrokontrolerów Marcin Engel Marcin Peczarski 8 listopada 2007 Alfanumeryczny wyświetlacz LCD umożliwia wyświetlanie znaków ze zbioru będącego rozszerzeniem ASCII posiada zintegrowany sterownik

Bardziej szczegółowo

Architektura komputerów Wykład 2

Architektura komputerów Wykład 2 Architektura komputerów Wykład 2 Jan Kazimirski 1 Elementy techniki cyfrowej 2 Plan wykładu Algebra Boole'a Podstawowe układy cyfrowe bramki Układy kombinacyjne Układy sekwencyjne 3 Algebra Boole'a Stosowana

Bardziej szczegółowo

SystimPlus. Dokumentacja (FAQ) dla wersji: v1.14.05.12

SystimPlus. Dokumentacja (FAQ) dla wersji: v1.14.05.12 SystimPlus Dokumentacja (FAQ) dla wersji: v1.14.05.12 Spis treści 1.Co to jest SystimPlus?... 1 2.Instalacja i wymagania... 1 3.Jakie drukarki fiskalne obsługuje SystimPlus?... 3 4.Jak połączyć się z drukarką

Bardziej szczegółowo

Karta katalogowa JAZZ OPLC JZ20-R31

Karta katalogowa JAZZ OPLC JZ20-R31 Karta katalogowa JAZZ OPLC JZ20-R31 W tym dokumencie znajduje się specyfikacja Unitronics Jazz Micro-OPLC JZ20-R31. Dodatkowe informacje znajdują się na płycie instalacyjnej CD Unitronics i w bibliotece

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak 3.12.2015 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące funkcje

Bardziej szczegółowo

Ćw. 7: Układy sekwencyjne

Ćw. 7: Układy sekwencyjne Ćw. 7: Układy sekwencyjne Wstęp Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną następujące układy

Bardziej szczegółowo

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja 0.1 29.10.2013 Przypomnienie - podział układów cyfrowych Układy kombinacyjne pozbawione właściwości pamiętania stanów, realizujące

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Ćwiczenie Nr 9 Procesor złożony Opracował:

Bardziej szczegółowo

Pomoc dla użytkowników systemu asix 6. www.asix.com.pl. Strategia buforowa

Pomoc dla użytkowników systemu asix 6. www.asix.com.pl. Strategia buforowa Pomoc dla użytkowników systemu asix 6 www.asix.com.pl Strategia buforowa Dok. Nr PLP6024 Wersja: 29-01-2010 ASKOM i asix to zastrzeżone znaki firmy ASKOM Sp. z o. o., Gliwice. Inne występujące w tekście

Bardziej szczegółowo

Instrukcja programu użytkownika OmegaUW.Exe. Program obsługuje następujące drukarki fiskalne: ELZAB OMEGA II generacji ELZAB OMEGA F, MERA, MERA F.

Instrukcja programu użytkownika OmegaUW.Exe. Program obsługuje następujące drukarki fiskalne: ELZAB OMEGA II generacji ELZAB OMEGA F, MERA, MERA F. Instrukcja programu użytkownika OmegaUW.Exe Program obsługuje następujące drukarki fiskalne: ELZAB OMEGA II generacji ELZAB OMEGA F, MERA, MERA F. Program nie obsługuje drukarek ELZAB OMEGA I generacji

Bardziej szczegółowo

1. Cel ćwiczenia. 2. Podłączenia urządzeń zewnętrznych w sterowniku VersaMax Micro

1. Cel ćwiczenia. 2. Podłączenia urządzeń zewnętrznych w sterowniku VersaMax Micro 1. Cel ćwiczenia Celem ćwiczenia jest zaprojektowanie sterowania układem pozycjonowania z wykorzystaniem sterownika VersaMax Micro oraz silnika krokowego. Do algorytmu pozycjonowania wykorzystać licznik

Bardziej szczegółowo

Projekt z UCYF Dokumentacja końcowa. Temat: Sprzętowa realizacja gry Arkanoid

Projekt z UCYF Dokumentacja końcowa. Temat: Sprzętowa realizacja gry Arkanoid Studenci: Tomasz Biały, Grzegorz Chmielewski, Michał Stasiuk, Prowadzący: dr inż. Paweł Tomaszewicz Projekt z UCYF Dokumentacja końcowa Temat: Sprzętowa realizacja gry Arkanoid 1. Informacje ogólne: Gra

Bardziej szczegółowo

Adresowanie obiektów. Adresowanie bitów. Adresowanie bajtów i słów. Adresowanie bajtów i słów. Adresowanie timerów i liczników. Adresowanie timerów

Adresowanie obiektów. Adresowanie bitów. Adresowanie bajtów i słów. Adresowanie bajtów i słów. Adresowanie timerów i liczników. Adresowanie timerów Adresowanie obiektów Bit - stan pojedynczego sygnału - wejście lub wyjście dyskretne, bit pamięci Bajt - 8 bitów - wartość od -128 do +127 Słowo - 16 bitów - wartość od -32768 do 32767 -wejście lub wyjście

Bardziej szczegółowo

Instrukcja instalacji

Instrukcja instalacji Instrukcja instalacji CZYTNIKI KART ZBLIŻENIOWYCH C-11, C-21 WERSJA 1.0 SPIS TREŚCI 1. Informacje wstępne................................... 3 2. Dane techniczne czytników........................... 4

Bardziej szczegółowo

Programator ICP mikrokontrolerów rodziny ST7. Full MFPST7. Lite. Instrukcja użytkownika 03/09

Programator ICP mikrokontrolerów rodziny ST7. Full MFPST7. Lite. Instrukcja użytkownika 03/09 Full Lite MFPST7 Programator ICP mikrokontrolerów rodziny ST7 Instrukcja użytkownika 03/09 Spis treści WSTĘP 3 CZYM JEST ICP? 3 PODŁĄCZENIE PROGRAMATORA DO APLIKACJI 4 OBSŁUGA APLIKACJI ST7 VISUAL PROGRAMMER

Bardziej szczegółowo

AKTUATOR DO SYSTEMU DUO Q

AKTUATOR DO SYSTEMU DUO Q AKTUATOR DO SYSTEMU DUO ----- 2281Q Aktuator 2281Q, przeznaczony do systemu DUO, umożliwia sterowanie funkcjami automatyki domowej lub aktywacji funkcji dodatkowych, takich jak otwieranie elektrozaczepu

Bardziej szczegółowo

Opis procedur asemblera AVR

Opis procedur asemblera AVR Piotr Kalus PWSZ Racibórz 10.05.2008 r. Opis procedur asemblera AVR init_lcd Plik: lcd4pro.hvr Procedura inicjuje pracę alfanumerycznego wyświetlacza LCD za sterownikiem HD44780. Wyświetlacz działa w trybie

Bardziej szczegółowo

Licznik prędkości LP100 rev. 2.48

Licznik prędkości LP100 rev. 2.48 Licznik prędkości LP100 rev. 2.48 Instrukcja obsługi programu PPH WObit mgr inż. Witold Ober 61-474 Poznań, ul. Gruszkowa 4 tel.061/8350-620, -800 fax. 061/8350704 e-mail: wobit@wobit.com.pl Instrukcja

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Schemat ogólny X Y Układ kombinacyjny S Z Pamięć Zegar Działanie układu Zmiany wartości wektora S możliwe tylko w dyskretnych chwilach czasowych

Bardziej szczegółowo

LEKCJA. TEMAT: Funktory logiczne.

LEKCJA. TEMAT: Funktory logiczne. TEMAT: Funktory logiczne. LEKCJA 1. Bramką logiczną (funktorem) nazywa się układ elektroniczny realizujący funkcje logiczne jednej lub wielu zmiennych. Sygnały wejściowe i wyjściowe bramki przyjmują wartość

Bardziej szczegółowo

Projekt prostego procesora

Projekt prostego procesora Projekt prostego procesora Opracowany przez Rafała Walkowiaka dla zajęć z PTC 2012/2013 w oparciu o Laboratory Exercise 9 Altera Corporation Rysunek 1 przedstawia schemat układu cyfrowego stanowiącego

Bardziej szczegółowo

Architektura komputerów. Układy wejścia-wyjścia komputera

Architektura komputerów. Układy wejścia-wyjścia komputera Architektura komputerów Układy wejścia-wyjścia komputera Wspópraca komputera z urządzeniami zewnętrznymi Integracja urządzeń w systemach: sprzętowa - interfejs programowa - protokół sterujący Interfejs

Bardziej szczegółowo