Programowalne układy logiczne

Podobne dokumenty
Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2

Proste układy sekwencyjne

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1

Przerzutnik (z ang. flip-flop) jest to podstawowy element pamiętający każdego układu

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita

Programowalne układy logiczne

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp:

UKŁADY CYFROWE. Układ kombinacyjny

dwójkę liczącą Licznikiem Podział liczników:

UKŁADY SEKWENCYJNE Opracował: Andrzej Nowak

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB

Projektowanie automatów z użyciem VHDL

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające

Cyfrowe układy scalone c.d. funkcje

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Programowalne układy logiczne

Podstawowe elementy układów cyfrowych układy sekwencyjne Rafał Walkowiak Wersja

Podstawowe elementy układów cyfrowych układy sekwencyjne. Rafał Walkowiak

LABORATORIUM ELEKTRONIKI. Jakub Kaźmierczak. 2.1 Sekwencyjne układy pamiętające

LABORATORIUM PODSTAWY ELEKTRONIKI PRZERZUTNIKI

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Układy reprogramowalne i SoC Język VHDL (część 4)

Ćwiczenie 6. Przerzutniki bistabilne (Flip-Flop) Cel

Modelowanie złożonych układów cyfrowych (1)

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D

Programowalne układy logiczne

Układy cyfrowe (logiczne)

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Przerzutniki RS i JK-MS lab. 04 Układy sekwencyjne cz. 1

Układy kombinacyjne - przypomnienie

Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje.

TEMAT: PROJEKTOWANIE I BADANIE PRZERZUTNIKÓW BISTABILNYCH

Statyczne i dynamiczne badanie przerzutników - ćwiczenie 2

Systemy Czasu Rzeczywistego FPGA

Statyczne badanie przerzutników - ćwiczenie 3

PoniŜej zamieszczone są rysunki przedstawiane na wykładach z przedmiotu Peryferia Komputerowe. ELEKTRONICZNE UKŁADY CYFROWE

W przypadku spostrzeżenia błędu proszę o przesłanie informacji na adres

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35

Programowalne układy logiczne

PODSTAWY TEORII UKŁADÓW CYFROWYCH

Układy sekwencyjne - wiadomości podstawowe - wykład 4

Krótkie wprowadzenie do ModelSim i Quartus2

Instrukcje sekwencyjne

LEKCJA. TEMAT: Funktory logiczne.

Systemy cyfrowe z podstawami elektroniki i miernictwa Wyższa Szkoła Zarządzania i Bankowości w Krakowie Informatyka II rok studia dzienne

Podstawy układów mikroelektronicznych

Automatyzacja i robotyzacja procesów produkcyjnych

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji LABORATORIUM.

Podstawy elektroniki cz. 2 Wykład 2

Pojedyncze wartości zadeklarowanego typu Ustawiane przed rozpoczęciem symulacji bez moŝliwości

Układy sekwencyjne. 1. Czas trwania: 6h

Technika Cyfrowa. Wprowadzenie do laboratorium komputerowego część II

Przerzutniki. Układy logiczne sekwencyjne odpowiedź zależy od stanu układu przed pobudzeniem

Aby w pełni przetestować układ o trzech wejściach IN_0, IN_1 i IN_2 chcemy wygenerować wszystkie możliwe kombinacje sygnałów wejściowych.

Systemy Czasu Rzeczywistego FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Ćw. 7: Układy sekwencyjne

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

Państwowa Wyższa Szkoła Zawodowa

Systemy Czasu Rzeczywistego FPGA

Projekt prostego układu sekwencyjnego Ćwiczenia Audytoryjne Podstawy Automatyki i Automatyzacji

WFiIS CEL ĆWICZENIA WSTĘP TEORETYCZNY

Ćwiczenie Technika Mikroprocesorowa komputery 001 Układy sekwencyjne cz. 1

Układy sekwencyjne przerzutniki 2/18. Przerzutnikiem nazywamy elementarny układ sekwencyjny, wyposaŝony w n wejść informacyjnych (x 1.

Układy czasowo-licznikowe w systemach mikroprocesorowych

CYFROWE UKŁADY SCALONE STOSOWANE W AUTOMATYCE

Podstawy Techniki Cyfrowej Liczniki scalone

Liczniki, rejestry lab. 07 Układy sekwencyjne cz. 1

Technika cyfrowa projekt: Sumator 4 bitowy równoległy

Projektowanie i badanie liczników synchronicznych i asynchronicznych

AHDL - Język opisu projektu. Podstawowe struktury języka. Komentarz rozpoczyna znak i kończy znak %. SUBDESIGN

2.1. Metoda minimalizacji Quine a-mccluskey a dla funkcji niezupełnych.

Programowanie Układów Logicznych kod kursu: ETD6203. Komunikacja z układami cyfrowymi W dr inż. Daniel Kopiec

Ćwiczenie 27C. Techniki mikroprocesorowe Badania laboratoryjne wybranych układów synchronicznych

Krótkie przypomnienie

Architektura komputerów Wykład 2

Programowanie Układów Logicznych kod kursu: ETD6203 W dr inż. Daniel Kopiec. Pamięć w układach programowalnych

LABORATORIUM PODSTAWY ELEKTRONIKI REJESTRY

mgr inż. Maciej Rudek opracował: dr inż. Daniel Kopiec

Logiczne układy bistabilne przerzutniki.

Elektronika i techniki mikroprocesorowe

Układy czasowo-licznikowe w systemach mikroprocesorowych

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

Temat 5. Podstawowe bloki funkcjonalne

Kierunek EiT Specjalność Sieci i usługi, V rok Programowalne Układy Cyfrowe. Synteza logiczna. Rajda & Kasperek 2015 Katedra Elektroniki AGH 1

Zapoznanie się z podstawowymi strukturami liczników asynchronicznych szeregowych modulo N, zliczających w przód i w tył oraz zasadą ich działania.

Funkcje logiczne X = A B AND. K.M.Gawrylczyk /55

Programowalne układy logiczne

Politechnika Wrocławska, Wydział PPT Laboratorium z Elektroniki i Elektrotechniki

Cel. Poznanie zasady działania i budowy liczników zliczających ustaloną liczbę impulsów. Poznanie kodów BCD, 8421 i Rys. 9.1.

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW

U 2 B 1 C 1 =10nF. C 2 =10nF

Wstęp do Techniki Cyfrowej... Teoria automatów i układy sekwencyjne

Ćwiczenie MMLogic 002 Układy sekwencyjne cz. 2

Na początek: do firmowych ustawień dodajemy sterowanie wyłącznikiem ściennym.

Projektowanie Urządzeń Cyfrowych

5/11/2011. Układy CMOS. Bramki logiczne o specjalnych cechach. τ ~ R*C

Transkrypt:

Programowalne układy logiczne Przerzutniki Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 20 maja 2013

Przerzutnik synchroniczny Układ synchroniczny wyzwalany ustalonym zboczem sygnału zegarowego (ang. edge-triggered) Jedno wyjście, oznaczane Q, przyjmujące dwa stany: 0 i 1 Następny stan, oznaczany Q, zależny od aktualnego stanu Q i stanu wejść w chwili pojawienia się aktywnego zbocza sygnału zegarowego Elementarny składnik układów synchronicznych

Dygresja Przerzutnik to po angielsku flip-flop. W komputerze używającym notacji trójkowej potrzebowalibyśmy przerzutników flip-flap-flop [Knuth, TAOCP, rozdz. 4.1].

Przerzutnik synchroniczny o jednym wejściu Przerzutnik D Przerzutnik T Q = D D 0 1 Q 0 0 1 1 0 1 Q Q = Q T T 0 1 Q 0 0 1 1 1 0 Q

Przerzutnik synchroniczny o jednym wejściu, cd. Z dokładnością do symetrii można wymyślić jeszcze jeden rodzaj przerzutnika o jednym wejściu Q = Q X X 0 1 Q 0 0 1 1 0 0 Q Przerzutnik ten można łatwo zrobić z przerzutnika D, dokładając jedną bramkę: D = Q X. Przerzutnik T też można zrobić z przerzutnika D, dokładając jedną bramkę: D = Q T. W praktyce produkuje się tylko przerzutniki D. Nie ma innych przerzutników o jednym wejściu.

Przerzutnik synchroniczny o dwóch wejściach Jest wiele możliwych sposobów użycia dwóch wejść. Najbardziej naturalne jest, aby jedno wejście S (ang. set) ustawiało wyjście, a drugie R (ang. reset) go zerowało. Przerzutnik SR Przerzutnik JK Q = S (Q R), S R = 0 SR 00 01 11 10 Q 0 0 0 1 1 1 0 1 Q Q = (Q J) (Q K) JK 00 01 11 10 Q 0 0 0 1 1 1 1 0 0 1 Q

Przerzutnik synchroniczny, podsumowanie Praktyczne znaczenie mają głównie przerzutniki D oraz JK. Produkuje się tylko te rodzaje przerzutników. Przerzutnik RS zawsze można zastąpić przerzutnikiem JK. Przerzutnik T też można łatwo zrobić z przerzutnika JK.

Przerzutnik typu zatrzask Oprócz przerzutników wyzwalanych zboczem istnieją też przerzutniki typu zatrzask (ang. latch), wyzwalane poziomem (ang. level-triggered). Różnice w działaniu łatwo jest pokazać, porównując ich defincje w VHDL-u. Deklaracja interfejsu w obu przypadkach jest taka sama: entity flip_flop is port( input, clock, reset: in std_logic; q: out std_logic ); end entity flip_flop;

Definicja przerzutnika wyzwalanego zboczem architecture edge_triggered of flip_flop is begin process (clock, reset) begin if reset = 0 then q <= 0 ; elsif clock event and clock = 1 then q <= input; end if; end process; end architecture edge_triggered;

Definicja przerzutnika wyzwalanego poziomem architecture level_triggered of flip_flop is begin process (clock, reset, input) begin if reset = 0 then q <= 0 ; elsif clock = 1 then q <= input; end if; end process; end architecture level_triggered;

Przerzutnik typu zatrzask, podsumowanie Nie może być użyty do syntezy układu synchronicznego. Stosuje się go w różnego rodzaju buforach. Często stosuje się go w taki sposób, że wejście danych nie zmienia się, gdy na wejściu zegarowym jest aktywny poziom.

Układowe realizacje przerzutników Prawie zawsze mamy do dyspozycji wyjście zanegowane Q układowo to nic nie kosztuje, a jest wygodne. Często mamy do dyspozycji asynchroniczne wejście zerujące R (ang. reset), a czasem też asynchroniczne wejście ustawiające S (ang. set). Wejścia asynchroniczne mają wyższy priotytet niż wejścia synchroniczne. Aktywnym poziomem na wejściach asynchronicznych jest zwykle poziom niski.

Układowe realizacje przerzutników Zawierają przerzutnik wpisz-zeruj (ang. set-reset). Przerzutnik synchroniczny można zrealizować jako dwutaktowy (ang. master-slave), zawierający dwa połączone kaskadowo przerzutniki typu zatrzask wyzwalane przeciwnymi poziomami. Na kolejnych slajdach zobaczymy przykłady.

Przerzutnik D wyzwalany narastającym zboczem z asynchronicznym zerowaniem i ustawianiem

Przerzutnik D typu zatrzask

Przerzutnik JK master-slave, zmiana stanu wyjścia przy opadającym zboczu zegara

Symbole przerzutników z poprzednich slajdów D S Q D Q J Q C C C R Q Q K Q

Asynchroniczny przerzutnik T Dzielnik częstotliwość przez dwa Symetryzator sygnału zegarowego T Q Jedyny możliwy przerzutnik synchroniczny bez wejścia Q = Q Q 0 1 1 0 Q T D C Q Q Q

Asynchroniczny przerzutnik T w VHDL-u entity asynchronous_t is port(t: in std_logic; q: out std_logic); end entity asynchronous_t; architecture behavioral of asynchronous_t is signal r: std_logic := 0 ; begin q <= r; process (t) begin if t event and t = 1 then r <= not r; end if; end process; end architecture behavioral;

Literatura Wiesław Traczyk: Układy cyfrowe. Podstawy teoretyczne i metody syntezy. WNT, Warszawa 1982, 1986. Jerzy Baranowski, Bogusław Kalinowski, Zbigniew Nosal: Układy elektroniczne. Część III Układy i systemy cyfrowe. WNT, Warszawa 1994, 1998.