Laboratorium Układów Programowalnych System projektowy WebPack ISE 8.2i



Podobne dokumenty
Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx

Układy reprogramowalne i SoC Implementacja w układach FPGA

Wygląd okna aplikacji Project Navigator.

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

Ukªady Kombinacyjne - cz ± I

Mmfpga12. Instrukcja uruchomienia aplikacji testowych REV 1.0. Many ideas one solution

Search. (Wyszukiwarka) (Moduł Magento) v.1.0.0

PERSON Kraków

Programowanie Podstawowe Informacje

Jak zaprogramować procesor i. wgrać firmwar-e do yampp3usb. Copyright SOFT COM sp. z o. o.

REJESTRATOR RES800 INSTRUKCJA OBSŁUGI

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

Następnie kliknąć prawym klawiszem myszy na Połączenie sieci bezprzewodowej i wybrać Wyłącz.

SINAMICS G120C STARTER. Tworzenie nowego projektu w trybie offline.

PROGRAMATOR "WinProg-1" Instrukcja obsługi

Certyfikaty Certum Podpis w Adobe Reader

INSTRUKCJA DO PROGRAMU LICZARKA 2000 v 2.56

INFORMATOR TECHNICZNY WONDERWARE

ANALOGOWE UKŁADY SCALONE

Instrukcja instalacji programu Plantator oraz transferu pliku danych z/do PC kolektor danych PT-20

Symfonia Produkcja Instrukcja instalacji. Wersja 2013

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat:

Instalacja. Zawartość. Wyszukiwarka. Instalacja Konfiguracja Uruchomienie i praca z raportem Metody wyszukiwania...

Ekran startowy płyty instalacyjnej umozliwia nam wybór

Moduł Pulpit opcji oraz Narzędzia. Opis v 1.0

FUNKCJE STEROWNIKA PK-35 PID

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

SINAMICS G120C STARTER. Tworzenie nowego projektu w trybie online.

INFORMATOR TECHNICZNY WONDERWARE. Konfiguracja komputera klienckiego do łączenia się z serwerem IndustrialSQL

Konfiguracja programu RMS do współpracy z wagami DIBAL. Programowanie artykułów 3

Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna

Bezpieczeństwo informacji oparte o kryptografię kwantową

Przeniesienie lekcji SITA z płyt CD na ipoda touch

Laboratorium 14: Bazy Danych Konstruowanie interfejsu dla prostej bazy danych wykorzystującą technologię dbexpress

DEMERO Automation Systems

DTR.ZL APLISENS PRODUKCJA PRZETWORNIKÓW CIŚNIENIA I APARATURY POMIAROWEJ INSTRUKCJA OBSŁUGI (DOKUMENTACJA TECHNICZNO-RUCHOWA)

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

Zmiany w programie C GEO v. 6.5

Przyk ad konfiguracja MRP przy pomocy IO kontrolera Simatic S7-300 i switchy Scalance X

BCS Manager Instrukcja Obsługi

Ćwiczenie 1 VHDL - Licznik 4-bitowy.

Type ETO2 Controller for ice and snow melting

3) Kreator nowego połaczenia

Ćwiczenie 7 Liczniki binarne i binarne systemy liczbowe.

Oprogramowanie klawiatury matrycowej i alfanumerycznego wyświetlacza LCD

LABORATORIUM FOTONIKI

Kalkulator Kalorii by CTI. Instrukcja

Komunikacja w sieci Industrial Ethernet z wykorzystaniem Protokołu S7 oraz funkcji PUT/GET

Zdalne odnawianie certyfikatów do SWI

CELAB. System Informatyczny. Punkt przyjęć krok po kroku LTC 1

INSTRUKCJA UŻYTKOWANIA. Projekt: Syrena. wersja: Program: Krzysztof Gosławski Kontakt:

Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna

Konfiguracja programu Outlook 2007 do pracy z nowym serwerem poczty (Exchange)

Rozliczenia z NFZ. Ogólne założenia. Spis treści

Tester pilotów 315/433/868 MHz

DEMERO Automation Systems

Instrukcja obsługi Norton Commander (NC) wersja 4.0. Autor: mgr inż. Tomasz Staniszewski

TMW HC912 PROGRAMATOR MIKROKONTROLERÓW MOTOROLA HC912

CYFROWY WYŚWIETLACZ POŁOŻENIA TNP 10

INFORMATOR TECHNICZNY WONDERWARE

Zestaw skróconych instrukcji dotyczący najważniejszych operacji w programie Merkury Quattro.

Adapter USB do CB32. MDH-SYSTEM ul. Bajkowa 5, Lublin tel./fax lub kom e mail: info@mdh-system.pl

Materiały oryginalne: ZAWWW-2st1.2-l11.tresc-1.0kolor.pdf. Materiały poprawione

Instalacja i konfiguracja automatu synchronizacji CDN OFFLINE

Konfiguracja współpracy urządzeń mobilnych (bonowników).

INSTRUKCJA INSTALACJI REPEATER WIFI MODEL WL0189

Instrukcja obsługi. Oprogramowanie SAS 31

yoyo ver. 6.0

Instrukcja instalacji oraz wykorzystania podpisu cyfrowego

INTERFEJS S-CTT Super Chip tuning tool INSTRUKCJA OBSŁUGI

Instrukcja wgrywania synoptyki pola (wersja modelu danych do 634)

Użytkowanie elektronicznego dziennika UONET PLUS.

INSTALACJA OPROGRAMOWANIA...3 PRZYGOTOWANIE OPROGRAMOWANIA DO PRACY...3 DEFINICJA ZAPYTAŃ DO BAZ DANYCH...11 EKRAN GŁÓWNY...15

Technika Cyfrowa Wprowadzenie do laboratorium komputerowego

Quartus. Rafał Walkowiak IIn PP Wer

np. tu - na pierwszej formatce kreatora zaznaczamy opcję nr 3

INSTRUKCJA OBSŁUGI WD2250A. WATOMIERZ 0.3W-2250W firmy MCP

Microsoft Management Console

Instrukcja programu PControl Powiadowmienia.

Instrukcja użytkownika Akademickiego Systemu Archiwizacji Prac dla nauczyciela akademickiego

Urządzenia do bezprzerwowego zasilania UPS CES GX RACK. 10 kva. Wersja U/CES_GXR_10.0/J/v01. Praca równoległa

1. Instalujemy MikTeX'a: 1. Uruchamiamy instalator. 2. W odpowiednim momencie wyrażamy zgodę na warunki licencji:

SCHEMATY STRON. Baner Nawigacja Nawigacja okruszkowa Prawa kolumna zobacz również Boksy Zwykła strona...

Instrukcja pod czenia komputera z systemem Microsoft Windows XP do sieci PWSZ-FREE-WIFI

Nowe funkcjonalności

Interfejs RS485-TTL KOD: INTR. v.1.0. Wydanie: 2 z dnia Zastępuje wydanie: 1 z dnia

Instrukcja obsługi GPS VORDON

INSTRUKCJA Płytka uruchomieniowa EvB 4.1 Instrukcja obsługi

Zaznaczając checkbox zapamiętaj program zapamięta twoje dane logowania. Wybierz cmentarz z dostępnych na rozwijalnej liście.

Tomasz Greszata - Koszalin

Quartus. Rafał Walkowiak IIn PP Listopad 2017

Wypełnienie formularza zapisu dzieci do przedszkoli należy wprowadzić na stronie otwock.formico.pl

STRONA GŁÓWNA SPIS TREŚCI. Zarządzanie zawartością stron... 2 Tworzenie nowej strony... 4 Zakładka... 4 Prawa kolumna... 9

Mikrokontrolery AVR. Konfigurowanie mikrokontrolera ATMEGA16

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH,

epuap Ogólna instrukcja organizacyjna kroków dla realizacji integracji

STEROWNIKI NANO-PLC NA PRZYKŁADZIE STEROWNIKA LOGO!

Windows Serwer 2008 R2. Moduł 3. DHCP

STEROWNIK PRZEPŁYWOMIERZA Z WYŚWIETLACZEM LED NA SZYNĘ DIN SPR1

Transkrypt:

PŁYTKA TESTOWA Do praktycznego testowania realizowanych projektów laboratoryjnych przeznaczona jest płytka testowa. Na płytce znajdują się dwa układy programowalne CPLD: UC1 XC9536 PC44, UC2 XC95108 PC84. Układ UC1 wytwarza sygnały P 0 P 7 na podstawie stanu przycisków S 0 S 7 eliminując wpływ drgań ich styków. Diody DP 0 DP 7 świecą, gdy odpowiedni sygnał P 0 P 7 ma poziom wysoki. Przycisk MODE służy do wyboru pracy sygnałów P 0 P 7 : generacja pojedynczego impulsu, ustawianie poziomu niskiego lub Uproszczony schemat płytki testowej 1

wysokiego. Generator Gen1 (NE555) wytwarza sygnał prostokątny o częstotliwości 14 Hz. Układ UC2 przeznaczony jest do testowania realizowanych projektów. Sygnały P 0 P 7 doprowadzone są do wybranych końcówek układu UC2 poprzez rezystory ograniczające prąd (w przypadku zaprogramowania końcówek jako wyjść). Do układu przyłączono osiem diod świecących D 0 D 7 oraz dwa wyświetlacze 7-segmentowe LED, które świecą po podaniu poziomu L na odpowiednie końcówki. Ponadto do układu UC2 doprowadzono z generatora Gen2 (NE555) sygnał zegarowy o częstotliwości wybieranej za pomocą zworki Z1 (2 Hz, 14 Hz, 100 Hz). Programowanie układów wykonuje się za pomocą komputera PC poprzez złącze JTAG. Sposób połączenia sygnałów złącza i wyboru programowanego układu za pomocą zworek Z2, Z3 i Z4 przedstawia poniższy rysunek. Konfigurowanie interfejsu JTAG na płytce testowej 2

PROCES PROJEKTOWANIA Możliwości systemu projektowego WebPACK ISE 8.2i ilustruje projekt licznika modulo 16. Opis działania licznika jest przygotowany w języku VHDL. Uruchomienie systemu System uruchamia się dwukrotnym kliknięciem lewego klawisza myszki na ikonie Xilinx ISE 8.2i. Po uruchomieniu programu pojawia się nawigator projektu Xilinx ISE. Okno Sources Obszar edytora Okno Processes Okno Transcript wyświetlanie komunikatów 3

W celu utworzenia nowego projektu typu HDL należy: 1. Wybrać File New Project... uruchamiając New Project Wizard 2. Wpisać nazwę projektu proj w polu Project Name 3. W polu Project Location ustawić folder...\labuprog dla lokalizacji projektu 4. Sprawdzić czy w polu Top-Level Source Type jest wybrana opcja HDL 5. Nacisnąć Next 6. Wypełnić pola okna New Project Wizard Device Properties jak poniżej 7. Nacisnąć Next 8. W kolejnych dwóch oknach Create New Source i Add Existing Sources nacisnąć Next 9. W oknie Project Summary nacisnąć Finish 4

W celu opisania licznika modulo 16 w języku VHDL należy: 1. Wybrać Project New Source... uruchamiając New Source Wizard 2. W oknie Select Source Type zaznaczyć typ VHDL Module 3. W polu File Name wpisać nazwę pliku mod16 4. W polu Location domyślnie powinien być wybrany folder...\labuprog\proj 5. Nacisnąć Next 6. W oknie Define Module wypełnić odpowiednie kolumny nazwami sygnałów i ich parametrami jak poniżej 7. Nacisnąć Next 8. W oknie Summary nacisnąć Finish 9. W utworzonym pliku mod16.vhd dopisać działanie licznika w części architecture, zapisać plik mod16.vhd process(clk,r) begin if R= 1 then Q<= 0000 ; elsif rising_edge(clk) then Q<=Q+1; end if; end process; 5

10. W celu sprawdzenia poprawności składni języka VHDL w oknie Processes rozwinąć kolejno wiersze Implement Design oraz Synthesize XST, następnie uruchomić Check Syntax 6

W celu przeprowadzenia symulacji funkcjonalnej działania licznika należy: 1. Wybrać Project New Source... uruchamiając New Source Wizard 2. W oknie Select Source Type zaznaczyć typ Test Bench WaveForm 3. W polu File Name wpisać nazwę pliku tb1 4. W polu Location domyślnie powinien być wybrany folder...\labuprog\proj 5. Nacisnąć Next 6. W oknie Assiociate Source powinien być zaznaczony mod16, nacisnąć Next 7. W oknie Summary nacisnąć Finish 8. W oknie Initialize Timing w obszarze Clock Information wybrać Single Clock oraz sygnał CLK, ponadto w polu Initial Lenght of Test Bench ustawić czas symulacji 10000 ns, nacisnąc Finish 7

9. W oknie nawigatora projektu przy użyciu myszki narysować przebieg sygnału R, zapisać plik tb1.tbw 10. W oknie Sources wybrać Behavioral Simulation oraz zaznaczyć tb1 (tb1.tbw) 11. W oknie Processes wybrać zakładkę Processes, rozwinąć wiersz Xilinx ISE Simulator i uruchomić Simulate Bechawioral Model 12. Wynik symulacji w postaci przebiegów sygnału Q[3:0] 8

Implementacja projektu licznika w układzie XC95108 1. W oknie Sources wybrać Synthesis/Implementation oraz zaznaczyć mod16 2. W oknie Processes rozwinąć wiersz User Constraints i uruchomić Assign Package Pins 3. W kolejnym oknie informacyjnym nacisnąć Yes 4. W oknie Xilinx PACE (edytor pliku mod16.ucf ) przypisać sygnałom końcówki układu scalonego jak poniżej (kolumna Loc ) 5. Zapisać plik mod16.ucf, w oknie Bus Delimiter nacisnąć OK. 6. W oknie Processes rozwinąć wiersz Implement Design i uruchomić Generate Programming File, w oknie edytora pojawi się raport 7. Sprawdzić podłączenie płytki testowej! 8. Następnie rozwinąć wiersz Generate Programming File i uruchomić Configure Device (impact) 9. W oknie Welcome to impact wybrać Configure devices using Boundary- Scan (JTAG) oraz Automatically connect a cable and identify Boundary- Scan chain, nacisnąć Finish 9

10. Pojawia się okno Assign New Configuration File, w którym wybieramy plik mod16.jed, naciskamy Open 11. Nacisnąć prawym klawiszem myszki na symbolu układu XC95108 i wybrać Program... 12. Nacisnąć OK w oknie Programming Properties 13. Programowanie w toku... W celu przeprowadzenia symulacji czasowej działania licznika należy: 1. W oknie Sources wybrać Post Fit Simulation oraz zaznaczyć tb1 (tb1.tbw) 2. W oknie Processes rozwinąć wiersz Xilinx ISE Simulator i uruchomić Simulate Post Fit Model 3. Wynik symulacji w postaci przebiegów sygnału Q[3:0] 10