PUCY - Etap II - mikrokontroler 8-bitowy

Wielkość: px
Rozpocząć pokaz od strony:

Download "PUCY - Etap II - mikrokontroler 8-bitowy"

Transkrypt

1 PUCY - Etap II - mikrokontroler 8-bitowy Stefan Szczygielski, Marcin Miszczak 12 stycznia 2009 Streszczenie Celem Etapu II było zaprojektowanie i zaimplementowanie w języku VHDL mikrokontrolera 8-bitowego wykorzystującego interfejsy wejścia/wyjścia utworzone w ramach etapu I (klawiatura PS2 oraz interfejs Centronix). 1. Założenia funkcjonalne Zadany mikrokontroler wyposażony ma być w jednostkę centralną (CPU), pamięć ROM programu (256 bajtów, 0x0000-0x00FF), pamięć RAM dla danych (256 bajtów, 0x0100-0x01FF), wcześniej przygotowane interfejsy wejścia/wyjścia (adresowane w przestrzeni IO pod adresem 0x00). Jednostka CPU ma do dyspozycji 8 rejestrów. Zestaw instrukcji jest w pełni ortogonalny, długość rozkazu jest różna dla poszczególnych instrukcji (1, 2 lub 3 bajty), istnieją instrukcje adresujące 3 rejestry jednocześnie. Całość systemu połączona jest za pomocą 1 wspólnej trójstanonowej szyny komunikacyjnej, którą zarządza CPU. Adresowanie przestrzeni pamięci jest 16-bitowe, przestrzeń IO jest 8-bitowa. Urządzenia podrzędne na magistrali mogą wprowadzać cykle oczekiwania. Dostępny jest zewnętrzny interfejs magistrali. Operacja mnożenia ma być zrealizowane z wykorzystaniem dodatkowej jednostki wykonawczej będącej układem mikroprogramowalnym implementującym tzw. metodę podstawową mnożenia. Powyższe minimalne założenia rozszerzono o elementy ułatwiające wykorzystanie systemu mikrokontrolera: możliwość podglądu oraz programowania pamięci, pracę krokową procesora (zarówno na poziomie cykli rozkazowych, jak i maszynowych) oraz podgląd jego stanu. Na potrzeby sprawnego tworzenia programów postanowiono stworzyć asembler. Dodatkowo skupiono uwagę na możliwości stworzenia opisu systemu w sposób przenośny umożliwiający jego implementację w układach programowalnych różnych producentów, w szczególności Xilinx i Altera. Większość systemu została zaimplementowana w języku VHDL i umieszczona w układzie Spartan 3E firmy Xilinx zainstalowanym na płytce prototypowej NEXYS2 wyprodukowanej przez firmę Digilent. Port wyjściowy umożliwiający drukowanie liczb w kodzie U2 na drukarce został umieszczony w oddzielnym układzie Altera ACEX1K ze względu na jego gotową implementację w języku AHDL specyficzną dla narzędzi i układów tej firmy. 2. Podział na jednostki projektowe Projekt został podzielony na następujące elementy (Rys. 1): top pucy puter - komponent integrujący projekt dla układu Xilinx 1

2 Rys. 1: Struktura projektu dpim2pucy puter - komunikacja z interfejsem USB pucy bugger - programator/debugger systemu pucy cpu - procesor register bank - bank rejestrów procesora multiplier - układ mnożący pucy ram - pamięć systemu pucy keyboard - interfejs wejściowy (klawiatura) wbc keyboard - niskopoziomowy sterownik klawiatury wbc keyb2signed - wprowadzanie liczby 8-bit U2 drive7 - sterownik dynamicznego wyświetlacza 7-segmentowego pucy ext bus - interfejs szyny zewnętrznej ext wbc outp - interfejs zewnętrznego portu wyjściowego top pucy lpt - komponent integrujący projekt dla układu Altera outp - drukowanie liczby 8-bit U2 converter - konwerter liczby U2 na poszczególne cyfry wbc lpt - niskopoziomowy sterownik drukarki 2.1. Programator/Debugger Na potrzeby programowania pamięci oraz debugowania zestawu mikroprocesorowego wykorzystano mechanizm komunikacyjny zaimplementowany na płytce prototypowej NEXYS2. Mechanizm ten wykorzystuje interfejs USB 2.0 zawarty w mikrokontrolerze rodziny FX2 firmy Cypress i został 2

3 przez producenta płytki nazwany Digilent parallel interface model (Dpim). Jego konstrukcja inspirowana jest interfejsem równoległym komputerów IBM PC pracującym w trybie EPP i w skrócie polega na udostępnieniu 256 adresów 8-bitowych w trybie odczytu i zapisu. Za komunikację USB z komputerem PC odpowiada odpowiednio oprogramowany mikrokontroler Cypress CY7C68013A oraz część logiki w układzie FPGA. Dla systemów operacyjnych z rodziny Windows udostępniona jest biblioteka umożliwiająca wykorzystanie tego rodzaju komunikacji we własnych aplikacjach. Więcej na temat tego systemu, jego charakterystyce, możliwościach i programowaniu można znaleźć w dostarczonej dokumentacji (Digilent Parallel Interface Model Reference Manual, DPCUTIL Programmer s Reference Manual). Firma Digilent przygotowała również zarówno przykładowy kod w języku VHDL umożliwiający zastosowanie interfejsu jak i przykładową aplikację wykorzystującą bibliotekę programistyczną języka C dpim2pucy puter Komponent dpim2pucy puter jest interfejsem implementującym most pomiędzy interfejsem Dpim a Wishbone. Zaimplementowany interfejs umożliwia dostęp do 8 adresów 8-bitowych zarówno w trybie odczytu jak i zapisu (magistrala adresowa 3-bitowa). Komponent ten został napisany w oparciu o przykładowy plik dpimref.vhd dostarczony przez firmę Digilent. Warto zwrócić uwagę na konieczność rejestrowania sygnałów wejściowych dostarczanych przez układ Cypress ze względu na asynchroniczność jego zegarów w stosunku do zegara układu FPGA. Przykładowy kod tego faktu nie uwzględniał powodując losowe problemy z pracą interfejsu pucy bugger Komponent pucy bugger umożliwia ingerencję w zaprojektowany system mikroprocesorowy w czasie jego pracy. Implementuje 7 rejestrów dostępnych za pośrednictwem magistrali Wishbone: dwa adresujące magistralę pamięci (jest ona 16-bitowa), dwa umożliwiające dostęp do pamięci (jeden z nich implementuje odczyt/zapis z postinkrementacją adresu wskazywanego przez rejestry adresowe w celu optymalizacji procesu dostępu do ciągłych obszarów danych) oraz po jednym odpowiednio umożliwiające odczyt licznika rozkazów procesora, odczyt stanu procesora oraz sterowanie sygnałami debugowania (uruchom/zatrzymaj, krok rozkazowy, krok maszynowy, sygnał zatrzymania procesora). Komponent realizuje dostęp do szyny wewnętrznej systemu mikroprocesorowego w trybie aktywnym (może adresować urządzenia i żądać do nich dostępu) wspólnie z procesorem. Procesor zwalnia szynę w stanie zatrzymania, więc aby uniknąć konfliktu wysterowywania szyny komponent pomija cykle dostępu do szyny w przypadku stwierdzenia pracy procesora pucy-debug Aplikacja pucy-debug jest interakcyjnym programem konsolowym umożliwiającym programowanie pamięci oraz pracę krokową procesora zestawu mikroprocesorowego. Napisana została w języku C++ przy wykorzystaniu biblioteki komunikacyjnej dpcutil dostarczonej przez firmę Digilent, skompilowana może zostać zarówno kompilatorem środowiska Visual Studio firmy Microsoft jak i darmowym kompilatorem MinGW. Instrukcję korzystania z aplikacji (listę dostępnych poleceń) można uzyskać po wprowadzeniu z klawiatury znaku zapytania (?) spasm.pl Simple PUCY (Perl) Assembler jest asemblerem ułatwiającym tworzenie programów dla zestawu mikroprocesorowego, napisany został w postaci skryptu języka Perl. Nazwy mnemoników 3

4 odpowiadającym poszczególnym instrukcjom (jmp, ldi, lds, sts, in, out) odpowiadają nazwami, znaczeniem i składnią mnemonikom stosowanym w narzędziach programistycznych gnu dla architektury AVR mikrokontrolerów firmy Atmel. Minimalnie różnią się operacje arytmetyczne (add, sub, mul), które są trójargumentowe - ich parametry to kolejno rejestr przeznaczenia, rejestry argumentów (Rd, Ra, Rb). Mnemonik stop służący do zatrzymania procesora jest odpowiednikiem instrukcji break procesorów AVR. Asembler wspomaga adresowanie za pomocą etykiet, jego działanie jest dwuprzebiegowe. Pierwszy przebieg polega na analizie wszystkich występujących mnemoników pod kątem ich rozmiaru w kodzie programu (mają różne długości, przy czym mnemoniki o danej nazwie mają zawsze taką samą długość niezależnie od swoich argumentów) w celu wygenerowania adresów odpowiadającym poszczególnym symbolom, za drugim przebiegiem generowany jest finalny kod programu. Stałe mogą być zapisane zarówno dziesiętnie jak i szesnastkowo, weryfikowane są ich zakresy (zarówno dla liczb 8-bitowych w kodzie U2 jak i adresów 16-bitowych). Dodatkowo istnieje możliwość definiowania stałych symboli (pseudoinstrukcja.equ) CPU pucy cpu Komponent pucy cpu będący procesorem systemu podłączony jest do programatora/debugera oraz szyny systemowej. Wewnętrznie korzysta z komponentów banku rejestrów register bank oraz układu mnożącego multiplier. Komponent procesora składa się z głównego asynchronicznego procesu maszyny stanów FSM oraz kilku procesów wykonawczych sterującymi poszczególnymi podsystemami. Synchroniczna zmiana stanów następuje w procesie FSM CTRL. Zmiany stanu procesora mogą następować tyko w sytuacji, gdy procesor pracuje bez zatrzymań (run) bądź wykonuje krok instrukcyjny/maszynowy. Związane z trybem pracy rejestry regcpurun, regstep, regmstep są odpowiednio ustawiane w oparciu o sygnały sterujące generowane przez debugger (narastające zbocza na liniach cpu step i, cpu mstep i oraz stan linii cpu run i) jak również i wewnętrzne sygnały takie jak sigcpustep, sigcpumstep, sig- CycleEnd (zakończenie cyklu instrukcyjnego). Proces FSM CTRL wysyła sygnał sigstopallrunningcpuprocesses w sytuacji, gdy procesor jest zatrzymany. Sygnał ten blokuje wykonanie wszelkich operacji przez procesy podsystemów i żąda utrzymanie zawartości wszystkich sygnałów/rejestrów w stanie zgodnym z logiką zatrzymania procesora: między innymi inkrementacja licznika instrukcji ma być wstrzymana, strob zapisu do banku rejestrów wycofany, a szyna danych wprowadzona w stan wysokiej impedancji umożliwiając tym samym dostęp do niej komponentowi programującemu/debugującemu. Oprócz procesów związanych z pracą krokową procesora w komponencie pucy cpu znajdują się dwa istotne z punktu widzenia funkcjonowania CPU procesy wykonawcze: proces banku rejestrów i proces magistrali. Procesy te otrzymują sygnały oznaczone prefiksami odpowiednio sigrboraz sigbus-, które sterują ich działaniem. Oprócz funkcji podstawowych proces banku rejestrów odpowiada również za operacje arytmetyczne (związane z sygnałami sigrbadd, sigrbsum, sigrbmul), a proces magistrali za rejestry operacji (opcode) adresowania rejestrów (regra, regrb, regrb) i magistrali (rega). Sterowanie licznikiem rozkazów odbywa się w ramach procesu PC w oparciu o sygnały sigloadpc oraz sigincpc odpowiedzialne za odpowiednio ładowanie licznika rozkazów wartością z szyny danych (fragment instrukcji) oraz jego inkrementację. Licznik rozkazów jest zerowany pod wpływem sygnału resetu. Takie podzielenie funkcji umożliwiło stworzenie przejrzystego kodu języka VHDL. Zaimplementowanie nowych operacji (instrukcji) wykonywanych przez procesor powinno być możliwe przy 4

5 relatywnie niedużym nakładzie pracy register bank Komponent register bank stanowiący rejestry procesora jest opisany następująco: architecture B e h a v i o r a l of r e g i s t e r b a n k i s type ram type i s array (0 to 7) of s t d l o g i c v e c t o r (7 downto 0 ) ; signal ram : ram type ; begin process ( c l o c k ) begin end i f ; end process ; i f ( r i s i n g e d g e ( c l o c k ) ) then i f ( wren = 1 ) then ram ( c o n v i n t e g e r ( unsigned ( wraddress ) ) ) <= data ; end i f ; qa <= ram ( c o n v i n t e g e r ( unsigned ( r d a d d r e s s a ) ) ) ; qb <= ram ( c o n v i n t e g e r ( unsigned ( r d a d d r e s s b ) ) ) ; Jest to behawioralny opis pamięci trójportowej synchronicznej zgodny z komponentem, który można wygenerować kreatorem funkcji oprogramowania Quartus II firmy Altera (MegaWizard Plug- In Manager Memory Compiler RAM: 3-PORT, Rys. 2). Rys. 2: Komponent register bank wygenerowany za pomocą oprogramowania Quartus II Oprogramowanie firmy Xilinx nie ma problemu z wyekstrahowaniem pamięci trójportowej na podstawie opisu behawioralnego i umieszczeniem jej w dedykowanych komórkach pamięci (wymagany jest stosowne ustawienie opcji ram style, domyślnie pamięć zostanie zrealizowana w elementach LUT ze względu na jej mały rozmiar). Oprogramowanie Quartus II w wersji 8.1 nie potrafi wyekstrahować pamięci trójportowej (jedynie dwuportowe) i dlatego dla zachowania przenośności projektu zastosowano model całkowicie zgodny z komponentem generowanym przez to oprogramowanie. Model synchroniczny został zastosowany ze względu na synchroniczną architekturę dedykowanych bloków pamięci dostępnych w nowszych układach FPGA. W przypadku układów firmy Altera asynchroniczny model dedykowanych bloków pamięci występuje jeszcze w układach ACEX1K, natomiast wszystkie układy z rodziny Cyclone posiadają już pamięci z synchronicznym odczytem multiplier Komponent multiplier jest układem mikroprogramowalnym realizującym operację mnożenia metodą podstawową. Układ został zaimplementowany w oparciu o przykład opisany w pliku PUCY 09a Mikroprogramowanie przyklad prosty.pdf, w szczególności sterowania dla poszczególnych elementów układu są identyczne. Mnożone są dwie 8-bitowe liczby w kodzie U2, wynik jest także 8-bitowy. Instrukcje systemu mikroprocesorowego nie wykorzystują bitów przepełnienia, w związku z tym nie jest ono w żaden sposób sygnalizowane. Nie zaimplementowano również dodatkowego kroku 5

6 Rys. 3: Symulacja działania układu mnożącego korekcyjnego, gdyż modyfikuje on jedynie bardziej znaczący bajt 16-bitowego wyniku. Modyfikacja algorytmu w stosunku do prezentowanego mnożenia liczb w kodzie NKB polega w zasadzie na wstawieniu w miejsce najbardziej znaczącego bitu wyniku odpowiedniego bitu znaku. Działanie komponentu jest sterowane sygnałami strobu we ok i potwierdzenia wy ok analogicznie jak w przypadku działania magistrali Wishbone (Rys. 3). Należy pamiętać o podtrzymaniu danych wejściowych podczas aktywnego stanu linii strobu. Zastosowanie takiego układu mnożącego zostało podyktowane wyłącznie założeniami projektowymi i nie ma żadnego sensu zarówno mając na uwadze wydajność jak i zajętość elementów logicznych docelowego układu FPGA. Alternatywny komponent mnożący simple mul wykorzystuje operację mnożenia dwóch liczb ze znakiem dostępną w języku VHDL i dzięki obecności bloków mnożących w układzie jest bezproblemowo do nich syntezowana. Dzięki temu operacja mnożenia może być wykonywana w sposób kombinacyjny bez dodatkowych cykli opóźnienia Pamięć Specyfikacja systemu mikroprocesorowego wyróżnia dwa rodzaje pamięci: RAM oraz ROM. Ze względu na fakt, iż zdecydowano się na system mający możliwość programowania pamięci ROM programu bez rekonfiguracji układu FPGA, pamięć ROM została zastąpiona pamięcią RAM. Pamięć RAM systemu uzyskała w ten sposób pojemność 512 słów 8-bitowych i zajęła przestrzeń adresową pamięci w zakresie 0x0000-0x01FF. Komponent pucy ram jest opisaną behawioralnie pamięcią synchroniczną: i f ( r i s i n g e d g e ( CLK I ) ) then r e a d y d a t a a d d r <= a i ( SIZE BITS 1 downto 0 ) ; what data address i s ready at output? i f ( w r i = 1 and mrq i = 1 and a i (15 downto SIZE BITS ) = BASE ADDR(15 downto SIZE BITS ) ) then ram ( c o n v i n t e g e r ( unsigned ( a i ( SIZE BITS 1 downto 0 ) ) ) ) <= d i o ; r e a d y d a t a a d d r <= a i ( SIZE BITS 1 downto 0 ) ; what data address i s w r i t t e n? 6

7 end i f ; data <= ram ( c o n v i n t e g e r ( unsigned ( a i ( SIZE BITS 1 downto 0 ) ) ) ) ; end i f ; asynchronous p a r t i f ( a i = r e a d y d a t a a d d r ) then s i g w t <= 0 ; else s i g w t <= 1 ; end i f ; Pamięć opisana w ten sposób jest syntezowana do dedykowanych komórek pamięci obecnych w układach FPGA zarówno przez narzędzia firmy Xilinx (ISE), jak i Altera (Quartus II). Dzięki takiemu opisowi uzyskano pożądaną przenośność projektu. Sterowanie sygnałem żądania oczekiwania (WT) zrealizowano z wykorzystaniem dodatkowego rejestru, w którym przechowywany jest adres danych zapisanych/dostępnych do odczytu. Kombinacyjne porównanie zawartości tego rejestru z żądanym adresem wystawia sygnał żądania cyklu oczekiwania. Sygnał ten zgodnie z przyjętą konwencją dostępny jest natychmiast w następnym cyklu zegara po wystawieniu sygnałów żądania operacji Porty wejścia/wyjścia pucy keyboard Komponent pucy keyboard integruje komponenty interfejsu klawiatury przygotowane w ramach etapu I (wbc keyboard, wbc keyb2signed) udostępniając jednocześnie interfejs trójstanowej szyny zastosowanej w całym systemie mikroprocesorowym. Dzięki temu, że komponenty te zostały zaimplementowane przy wykorzystaniu języka VHDL oprócz wymaganego AHDL, można było je zastosować bezpośrednio w układzie programowalnym firmy Xilinx. Do podłączenia klawiatury wykorzystano złącze PS/2, w które została wyposażona płytka prototypowa NEXYS drive7 Płytka prototypowa NEXYS2 posiada 4-cyfrowy wyświetlacz 7-segmentowy sterowany dynamicznie, który wykorzystano do wyświetlania liczby wprowadzanej za pomocą klawiatury. Komponent drive7 jest prostym sterownikiem wyświetlacza dynamicznego umożliwiającym wyświetlenie znaku minus na pierwszej pozycji oraz trzech cyfr na pozostałych pozycjach. Szczegóły obsługi wyświetlacza można znaleźć w dokumentacji płytki dostarczonej przez firmę Digilent pucy ext bus Komponent pucy ext bus umożliwia wyprowadzenie wewnętrznej szyny trójstanowej systemu mikroprocesorowego na zewnątrz układu FPGA. Sygnały są aktywne tylko wówczas, gdy procesor bądź progamator realizuje cykle dostępu do komponentów innych, niż wewnętrzne komponenty systemu. W pozostałych przypadkach wyprowadzenia układu FPGA pozostają w stanie wysokiej impedancji ext wbc outp Komponent ext wbc outp dekoduje dostępy zapisu do przestrzeni IO pod adresem 0x00 tłumacząc je na zapisy na zewnątrz układu za pośrednictwem interfejsu będącego podzbiorem magistrali Wishbone (implementuje tylko sygnały danych, strobu oraz potwierdzenia). Sygnał potwierdzenia 7

8 będący sygnałem wejściowym zewnętrznym może być sygnałem asynchronicznym w stosunku do sygnałów układu mikroprocesora i dlatego jest filtrowany analogicznie jak sygnały klawiatury w komponencie wbc keyboard Port wyjściowy top wbc outp Komponent top wbc outp odpowiada za integrację poszczególnych komponentów portu wyjściowego interfejsu Centronix przygotowanych w ramach etapu I (outp, converter oraz wbc lpt). Ze względu na fakt, iż komponenty odpowiedzialne za obsługę drukarki zostały napisane w języku AHDL specyficznym dla układów firmy Altera, zaimplementowane zostały w odrębnym układzie tej firmy w stosunku do reszty systemu mikroprocesorowego. Transfer danych pomiędzy układami dokonywany jest za pomocą jednokierunkowego interfejsu wykorzystującego podzbiór sygnałów szyny Wishbone (dane, strob, potwierdzenie). Sygnał strobu będący sygnałem wejściowym zewnętrznym asynchronicznym w stosunku do sygnałów układu (każdy z układów programowalnych jest taktowany niezależnym zegarem) jest poddawany filtracji analogicznej jak w przypadku sygnałów klawiatury w komponencie wbc keyboard. 8

LEKCJA TEMAT: Zasada działania komputera.

LEKCJA TEMAT: Zasada działania komputera. LEKCJA TEMAT: Zasada działania komputera. 1. Ogólna budowa komputera Rys. Ogólna budowa komputera. 2. Komputer składa się z czterech głównych składników: procesor (jednostka centralna, CPU) steruje działaniem

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

Logiczny model komputera i działanie procesora. Część 1.

Logiczny model komputera i działanie procesora. Część 1. Logiczny model komputera i działanie procesora. Część 1. Klasyczny komputer o architekturze podanej przez von Neumana składa się z trzech podstawowych bloków: procesora pamięci operacyjnej urządzeń wejścia/wyjścia.

Bardziej szczegółowo

MIKROKONTROLERY I MIKROPROCESORY

MIKROKONTROLERY I MIKROPROCESORY PLAN... work in progress 1. Mikrokontrolery i mikroprocesory - architektura systemów mikroprocesorów ( 8051, AVR, ARM) - pamięci - rejestry - tryby adresowania - repertuar instrukcji - urządzenia we/wy

Bardziej szczegółowo

Projekt prostego procesora

Projekt prostego procesora Projekt prostego procesora Opracowany przez Rafała Walkowiaka dla zajęć z PTC 2012/2013 w oparciu o Laboratory Exercise 9 Altera Corporation Rysunek 1 przedstawia schemat układu cyfrowego stanowiącego

Bardziej szczegółowo

2. Architektura mikrokontrolerów PIC16F8x... 13

2. Architektura mikrokontrolerów PIC16F8x... 13 Spis treści 3 Spis treœci 1. Informacje wstępne... 9 2. Architektura mikrokontrolerów PIC16F8x... 13 2.1. Budowa wewnętrzna mikrokontrolerów PIC16F8x... 14 2.2. Napięcie zasilania... 17 2.3. Generator

Bardziej szczegółowo

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH

WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH SPRAWOZDANIE Temat: Projekt notesu elektronicznego w języku VHDL przy użyciu układów firmy

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203 W dr inż. Daniel Kopiec. Pamięć w układach programowalnych

Programowanie Układów Logicznych kod kursu: ETD6203 W dr inż. Daniel Kopiec. Pamięć w układach programowalnych Programowanie Układów Logicznych kod kursu: ETD623 Pamięć w układach programowalnych W6 6.4.26 dr inż. Daniel Kopiec Plan wykładu Pamięć w układach programowalnych Zasada działania, podział pamięci Miara

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 06 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Architektura typu Single-Cycle

Architektura typu Single-Cycle Architektura typu Single-Cycle...czyli budujemy pierwszą maszynę parową Przepływ danych W układach sekwencyjnych przepływ danych synchronizowany jest sygnałem zegara Elementy procesora - założenia Pamięć

Bardziej szczegółowo

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne Spis treści 5 Spis treœci Co to jest mikrokontroler? Wprowadzenie... 11 Budowa systemu komputerowego... 12 Wejścia systemu komputerowego... 12 Wyjścia systemu komputerowego... 13 Jednostka centralna (CPU)...

Bardziej szczegółowo

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11 Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1 Spis treúci Przedmowa... 9 Wstęp... 11 1. Komputer PC od zewnątrz... 13 1.1. Elementy zestawu komputerowego... 13 1.2.

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci RAM w FPGA.

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci RAM w FPGA. Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci RAM w FPGA. Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów cyfrowych

Bardziej szczegółowo

Pośredniczy we współpracy pomiędzy procesorem a urządzeniem we/wy. W szczególności do jego zadań należy:

Pośredniczy we współpracy pomiędzy procesorem a urządzeniem we/wy. W szczególności do jego zadań należy: Współpraca mikroprocesora z urządzeniami zewnętrznymi Urządzenia wejścia-wyjścia, urządzenia których zadaniem jest komunikacja komputera z otoczeniem (zwykle bezpośrednio z użytkownikiem). Do najczęściej

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo

Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne...

Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne... Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne...4 Podział układów logicznych...6 Cyfrowe układy funkcjonalne...8 Rejestry...8

Bardziej szczegółowo

Systemy uruchomieniowe

Systemy uruchomieniowe Systemy uruchomieniowe Przemysław ZAKRZEWSKI Systemy uruchomieniowe (1) 1 Środki wspomagające uruchamianie systemów mikroprocesorowych Symulator mikroprocesora Analizator stanów logicznych Systemy uruchomieniowe:

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 04 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Tydzień 11 Wejście - wyjście Urządzenia zewnętrzne Wyjściowe monitor drukarka Wejściowe klawiatura, mysz dyski, skanery Komunikacyjne karta sieciowa, modem Urządzenie zewnętrzne

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Ćwiczenie Nr 8 Implementacja prostego

Bardziej szczegółowo

Architektura Systemów Komputerowych. Jednostka ALU Przestrzeń adresowa Tryby adresowania

Architektura Systemów Komputerowych. Jednostka ALU Przestrzeń adresowa Tryby adresowania Architektura Systemów Komputerowych Jednostka ALU Przestrzeń adresowa Tryby adresowania 1 Jednostka arytmetyczno- logiczna ALU ALU ang: Arythmetic Logic Unit Argument A Argument B A B Ci Bit przeniesienia

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 7 (2h) Obsługa urządzenia peryferyjnego z użyciem pamięci w VHDL. Instrukcja do zajęć laboratoryjnych z przedmiotu

Bardziej szczegółowo

Mikroprocesory i Mikrosterowniki

Mikroprocesory i Mikrosterowniki Mikroprocesory i Mikrosterowniki Wykład 1 Wydział Elektroniki Mikrosystemów i Fotoniki dr inż. Piotr Markowski Na prawach rękopisu. Na podstawie dokumentacji ATmega8535, www.atmel.com. Konsultacje Pn,

Bardziej szczegółowo

PROGRAMOWALNE STEROWNIKI LOGICZNE

PROGRAMOWALNE STEROWNIKI LOGICZNE PROGRAMOWALNE STEROWNIKI LOGICZNE I. Wprowadzenie Klasyczna synteza kombinacyjnych i sekwencyjnych układów sterowania stosowana do automatyzacji dyskretnych procesów produkcyjnych polega na zaprojektowaniu

Bardziej szczegółowo

Architektura komputerów. Układy wejścia-wyjścia komputera

Architektura komputerów. Układy wejścia-wyjścia komputera Architektura komputerów Układy wejścia-wyjścia komputera Wspópraca komputera z urządzeniami zewnętrznymi Integracja urządzeń w systemach: sprzętowa - interfejs programowa - protokół sterujący Interfejs

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Wstęp do informatyki. Architektura co to jest? Architektura Model komputera. Od układów logicznych do CPU. Automat skończony. Maszyny Turinga (1936)

Wstęp do informatyki. Architektura co to jest? Architektura Model komputera. Od układów logicznych do CPU. Automat skończony. Maszyny Turinga (1936) Wstęp doinformatyki Architektura co to jest? Architektura Model komputera Dr inż Ignacy Pardyka Slajd 1 Slajd 2 Od układów logicznych do CPU Automat skończony Slajd 3 Slajd 4 Ile jest automatów skończonych?

Bardziej szczegółowo

Programowalne Układy Cyfrowe Laboratorium

Programowalne Układy Cyfrowe Laboratorium Zdjęcie opracowanej na potrzeby prowadzenia laboratorium płytki przedstawiono na Rys.1. i oznaczono na nim najważniejsze elementy: 1) Zasilacz i programator. 2) Układ logiki programowalnej firmy XILINX

Bardziej szczegółowo

Sposoby projektowania systemów w cyfrowych

Sposoby projektowania systemów w cyfrowych Sposoby projektowania systemów w cyfrowych Top-down Idea całości projektu Dekompozycja na mniejsze bloki Projekt i rafinacja podbloków Łączenie bloków w całość PRZYKŁAD (sumator kaskadowy) zdefiniowanie

Bardziej szczegółowo

Struktura i działanie jednostki centralnej

Struktura i działanie jednostki centralnej Struktura i działanie jednostki centralnej ALU Jednostka sterująca Rejestry Zadania procesora: Pobieranie rozkazów; Interpretowanie rozkazów; Pobieranie danych Przetwarzanie danych Zapisywanie danych magistrala

Bardziej szczegółowo

PROJEKT I OPTYMALIZACJA STRUKTURY LOGICZNEJ DYDAKTYCZNEGO SYSTEMU MIKROPROCESOROWEGO DLA LABORATORIUM PROJEKTOWANIA ZINTEGROWANEGO

PROJEKT I OPTYMALIZACJA STRUKTURY LOGICZNEJ DYDAKTYCZNEGO SYSTEMU MIKROPROCESOROWEGO DLA LABORATORIUM PROJEKTOWANIA ZINTEGROWANEGO II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie PROJEKT I OPTYMALIZACJA STRUKTURY LOGICZNEJ DYDAKTYCZNEGO SYSTEMU MIKROPROCESOROWEGO DLA LABORATORIUM

Bardziej szczegółowo

Liczniki, rejestry lab. 08 Mikrokontrolery WSTĘP

Liczniki, rejestry lab. 08 Mikrokontrolery WSTĘP Liczniki, rejestry lab. 08 PODSTAWY TECHNIKI CYFROWEJ I MIKROPROCESOROWEJ EIP KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA GÓRNICZO-HUTNICZA WWW.AGH.EDU.PL

Bardziej szczegółowo

Magistrala. Magistrala (ang. Bus) służy do przekazywania danych, adresów czy instrukcji sterujących w różne miejsca systemu komputerowego.

Magistrala. Magistrala (ang. Bus) służy do przekazywania danych, adresów czy instrukcji sterujących w różne miejsca systemu komputerowego. Plan wykładu Pojęcie magistrali i jej struktura Architektura pamięciowo-centryczna Architektura szynowa Architektury wieloszynowe Współczesne architektury z połączeniami punkt-punkt Magistrala Magistrala

Bardziej szczegółowo

ARCHITEKTURA PROCESORA,

ARCHITEKTURA PROCESORA, ARCHITEKTURA PROCESORA, poza blokami funkcjonalnymi, to przede wszystkim: a. formaty rozkazów, b. lista rozkazów, c. rejestry dostępne programowo, d. sposoby adresowania pamięci, e. sposoby współpracy

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Worek różności jak dobrać się do gotowców w Spartanach? Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 12 kwietnia 2011 Spis treści Wbudowane

Bardziej szczegółowo

Budowa i zasada działania komputera. dr Artur Bartoszewski

Budowa i zasada działania komputera. dr Artur Bartoszewski Budowa i zasada działania komputera 1 dr Artur Bartoszewski Jednostka arytmetyczno-logiczna 2 Pojęcie systemu mikroprocesorowego Układ cyfrowy: Układy cyfrowe służą do przetwarzania informacji. Do układu

Bardziej szczegółowo

Organizacja typowego mikroprocesora

Organizacja typowego mikroprocesora Organizacja typowego mikroprocesora 1 Architektura procesora 8086 2 Architektura współczesnego procesora 3 Schemat blokowy procesora AVR Mega o architekturze harwardzkiej Wszystkie mikroprocesory zawierają

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

Programowanie Mikrokontrolerów

Programowanie Mikrokontrolerów Programowanie Mikrokontrolerów Wyświetlacz alfanumeryczny oparty na sterowniku Hitachi HD44780. mgr inż. Paweł Poryzała Zakład Elektroniki Medycznej Alfanumeryczny wyświetlacz LCD Wyświetlacz LCD zagadnienia:

Bardziej szczegółowo

Interfejs urządzeń peryferyjnych

Interfejs urządzeń peryferyjnych Interfejs urządzeń peryferyjnych Terminy - Referaty do 08.05.2010 - Egzamin 09.05.2010 lub 22.05.2010 Typy transmisji informacji Transmisja informacji w komputerach odbywa się przy wykorzystaniu magistrali

Bardziej szczegółowo

Mikroprocesory i Mikrosterowniki

Mikroprocesory i Mikrosterowniki Mikroprocesory i Mikrosterowniki Wykład 1 Wydział Elektroniki Mikrosystemów i Fotoniki dr inż. Piotr Markowski Na prawach rękopisu. Na podstawie dokumentacji ATmega8535, www.atmel.com. Konsultacje Pn,

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Wykład 12 Jan Kazimirski 1 Magistrale systemowe 2 Magistrale Magistrala medium łączące dwa lub więcej urządzeń Sygnał przesyłany magistralą może być odbierany przez wiele urządzeń

Bardziej szczegółowo

IIPW_SML3_680 (Z80) przewodnik do ćwiczeń laboratoryjnych

IIPW_SML3_680 (Z80) przewodnik do ćwiczeń laboratoryjnych IIPW_SML3_680 (Z80) przewodnik do ćwiczeń laboratoryjnych wrzesieo 2010 UWAGA: Moduł jest zasilany napięciem do 3.3V i nie może współpracowad z wyjściami układów zasilanych z wyższych napięd. Do pracy

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYNTEZA UKŁADÓW CYFROWYCH ES2D100005 Ćwiczenie Nr 9 Procesor złożony Opracował:

Bardziej szczegółowo

Specyfika projektowania Mariusz Rawski

Specyfika projektowania Mariusz Rawski CAD Specyfika projektowania Mariusz Rawski rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ System cyfrowy pierwsze skojarzenie Urządzenia wprowadzania danych: klawiatury czytniki urządzenia przetwarzania

Bardziej szczegółowo

Architektura komputerów wer. 7

Architektura komputerów wer. 7 Architektura komputerów wer. 7 Wojciech Myszka 2013-10-29 19:47:07 +0100 Karty perforowane Kalkulator IBM 601, 1931 IBM 601 kalkulator Maszyna czytała dwie liczby z karty, mnożyła je przez siebie i wynik

Bardziej szczegółowo

Kurs Podstawowy S7. Spis treści. Dzień 1

Kurs Podstawowy S7. Spis treści. Dzień 1 Spis treści Dzień 1 I System SIMATIC S7 - wprowadzenie (wersja 1401) I-3 Rodzina sterowników programowalnych SIMATIC S7 firmy SIEMENS I-4 Dostępne moduły i ich funkcje I-5 Jednostki centralne I-6 Podstawowe

Bardziej szczegółowo

4. Karta modułu Slave

4. Karta modułu Slave sygnały na magistralę. Można wyróżnić trzy typy układów scalonych takie jak bramki o otwartym kolektorze wyjściowym, bramki trójstanowe i bramki o przeciwsobnym wzmacniaczu wyjściowym. Obciążalność prądową

Bardziej szczegółowo

Grzegorz Cygan. Wstęp do programowania mikrosterowników w języku C

Grzegorz Cygan. Wstęp do programowania mikrosterowników w języku C Grzegorz Cygan Wstęp do programowania mikrosterowników w języku C Mikrosterownik Inne nazwy: Microcontroler (z języka angielskiego) Ta nazwa jest powszechnie używana w Polsce. Mikrokomputer jednoukładowy

Bardziej szczegółowo

USB interface in 8-bit microcontrollers PIC18F family manufactured by Microchip.

USB interface in 8-bit microcontrollers PIC18F family manufactured by Microchip. 1 Mateusz Klimkowski IV rok Koło Naukowe Techniki Cyfrowej dr inż. Wojciech Mysiński opiekun naukowy USB interface in 8-bit microcontrollers PIC18F family manufactured by Microchip. Interfejs USB w 8-bitowych

Bardziej szczegółowo

Programowanie w językach asemblera i C

Programowanie w językach asemblera i C Programowanie w językach asemblera i C Mariusz NOWAK Programowanie w językach asemblera i C (1) 1 Dodawanie dwóch liczb - program Napisać program, który zsumuje dwie liczby. Wynik dodawania należy wysłać

Bardziej szczegółowo

Projektowanie. Projektowanie mikroprocesorów

Projektowanie. Projektowanie mikroprocesorów WYKŁAD Projektowanie mikroprocesorów Projektowanie układ adów w cyfrowych - podsumowanie Algebra Boole a Bramki logiczne i przerzutniki Automat skończony System binarny i reprezentacja danych Synteza logiczna

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Mikroprocesor Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 6 grudnia 2014 Zbudujmy własny mikroprocesor Bardzo prosty: 16-bitowy, 16 rejestrów

Bardziej szczegółowo

Programator procesorów rodziny AVR AVR-T910

Programator procesorów rodziny AVR AVR-T910 Programator procesorów rodziny AVR AVR-T910 Instrukcja obsługi Opis urządzenia AVR-T910 jest urządzeniem przeznaczonym do programowania mikrokontrolerów rodziny AVR firmy ATMEL. Programator podłączany

Bardziej szczegółowo

MOŻLIWOŚCI PROGRAMOWE MIKROPROCESORÓW

MOŻLIWOŚCI PROGRAMOWE MIKROPROCESORÓW MOŻLIWOŚCI PROGRAMOWE MIKROPROCESORÓW Projektowanie urządzeń cyfrowych przy użyciu układów TTL polegało na opracowaniu algorytmu i odpowiednim doborze i zestawieniu układów realizujących różnorodne funkcje

Bardziej szczegółowo

UTK ARCHITEKTURA PROCESORÓW 80386/ Budowa procesora Struktura wewnętrzna logiczna procesora 80386

UTK ARCHITEKTURA PROCESORÓW 80386/ Budowa procesora Struktura wewnętrzna logiczna procesora 80386 Budowa procesora 80386 Struktura wewnętrzna logiczna procesora 80386 Pierwszy prawdziwy procesor 32-bitowy. Zawiera wewnętrzne 32-bitowe rejestry (omówione zostaną w modułach następnych), pozwalające przetwarzać

Bardziej szczegółowo

1.2 Schemat blokowy oraz opis sygnałów wejściowych i wyjściowych

1.2 Schemat blokowy oraz opis sygnałów wejściowych i wyjściowych Dodatek A Wyświetlacz LCD. Przeznaczenie i ogólna charakterystyka Wyświetlacz ciekłokrystaliczny HY-62F4 zastosowany w ćwiczeniu jest wyświetlaczem matrycowym zawierającym moduł kontrolera i układ wykonawczy

Bardziej szczegółowo

Systemy wbudowane. Wprowadzenie. Struktura. Mikrokontrolery AVR. Wprowadzenie do programowania w C

Systemy wbudowane. Wprowadzenie. Struktura. Mikrokontrolery AVR. Wprowadzenie do programowania w C Systemy wbudowane Mikrokontrolery AVR Wprowadzenie do programowania w C dr inż. Maciej Piechowiak Wprowadzenie język C jest językiem strukturalnym wysokiego poziomu, jednak działającym blisko sprzętu i

Bardziej szczegółowo

Zagadnienia zaliczeniowe z przedmiotu Układy i systemy mikroprocesorowe elektronika i telekomunikacja, stacjonarne zawodowe

Zagadnienia zaliczeniowe z przedmiotu Układy i systemy mikroprocesorowe elektronika i telekomunikacja, stacjonarne zawodowe Zagadnienia zaliczeniowe z przedmiotu Układy i systemy mikroprocesorowe elektronika i telekomunikacja, stacjonarne zawodowe System mikroprocesorowy 1. Przedstaw schemat blokowy systemu mikroprocesorowego.

Bardziej szczegółowo

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie:

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie: Zaliczenie Termin zaliczenia: 14.06.2007 Sala IE 415 Termin poprawkowy: >18.06.2007 (informacja na stronie: http://neo.dmcs.p.lodz.pl/tm/index.html) 1 Współpraca procesora z urządzeniami peryferyjnymi

Bardziej szczegółowo

Opracował: Jan Front

Opracował: Jan Front Opracował: Jan Front Sterownik PLC PLC (Programowalny Sterownik Logiczny) (ang. Programmable Logic Controller) mikroprocesorowe urządzenie sterujące układami automatyki. PLC wykonuje w sposób cykliczny

Bardziej szczegółowo

Projektowanie Urządzeń Cyfrowych

Projektowanie Urządzeń Cyfrowych Projektowanie Urządzeń Cyfrowych Laboratorium 2 Przykład prostego ALU Opracował: mgr inż. Leszek Ciopiński Wstęp: Magistrale: Program MAX+plus II umożliwia tworzenie magistral. Magistrale są to grupy przewodów

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Wykład 3 Jan Kazimirski 1 Podstawowe elementy komputera. Procesor (CPU) 2 Plan wykładu Podstawowe komponenty komputera Procesor CPU Cykl rozkazowy Typy instrukcji Stos Tryby adresowania

Bardziej szczegółowo

Architektura komputera. Dane i rozkazy przechowywane są w tej samej pamięci umożliwiającej zapis i odczyt

Architektura komputera. Dane i rozkazy przechowywane są w tej samej pamięci umożliwiającej zapis i odczyt Architektura komputera Architektura von Neumanna: Dane i rozkazy przechowywane są w tej samej pamięci umożliwiającej zapis i odczyt Zawartośd tej pamięci jest adresowana przez wskazanie miejsca, bez względu

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35

LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35 LABORATORIUM TECHNIKA CYFROWA Pamięci Rev.1.35 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z projektowania modułów sterowania oraz kontroli pamięci 2. Kolokwium Kolokwium wstępne sprawdzające

Bardziej szczegółowo

Podstawy programowania

Podstawy programowania Podstawy programowania Część pierwsza Od języka symbolicznego do języka wysokiego poziomu Autor Roman Simiński Kontakt roman.siminski@us.edu.pl www.us.edu.pl/~siminski Niniejsze opracowanie zawiera skrót

Bardziej szczegółowo

CPU ROM, RAM. Rejestry procesora. We/Wy. Cezary Bolek Uniwersytet Łódzki Wydział Zarządzania Katedra Informatyki

CPU ROM, RAM. Rejestry procesora. We/Wy. Cezary Bolek Uniwersytet Łódzki Wydział Zarządzania Katedra Informatyki Cezary Bolek Uniwersytet Łódzki Wydział Zarządzania Katedra Informatyki Komputer jest urządzeniem, którego działanie opiera się na wykonywaniu przez procesor instrukcji pobieranych z pamięci operacyjnej

Bardziej szczegółowo

Wprowadzenie do informatyki i użytkowania komputerów. Kodowanie informacji System komputerowy

Wprowadzenie do informatyki i użytkowania komputerów. Kodowanie informacji System komputerowy 1 Wprowadzenie do informatyki i użytkowania komputerów Kodowanie informacji System komputerowy Kodowanie informacji 2 Co to jest? bit, bajt, kod ASCII. Jak działa system komputerowy? Co to jest? pamięć

Bardziej szczegółowo

Systemy Wbudowane. Założenia i cele przedmiotu: Określenie przedmiotów wprowadzających wraz z wymaganiami wstępnymi: Opis form zajęć

Systemy Wbudowane. Założenia i cele przedmiotu: Określenie przedmiotów wprowadzających wraz z wymaganiami wstępnymi: Opis form zajęć Systemy Wbudowane Kod przedmiotu: SW Rodzaj przedmiotu: kierunkowy ; obowiązkowy Wydział: Informatyki Kierunek: Informatyka Specjalność (specjalizacja): - Poziom studiów: pierwszego stopnia Profil studiów:

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

WPROWADZENIE Mikrosterownik mikrokontrolery

WPROWADZENIE Mikrosterownik mikrokontrolery WPROWADZENIE Mikrosterownik (cyfrowy) jest to moduł elektroniczny zawierający wszystkie środki niezbędne do realizacji wymaganych procedur sterowania przy pomocy metod komputerowych. Platformy budowy mikrosterowników:

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 03 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Komputer IBM PC niezależnie od modelu składa się z: Jednostki centralnej czyli właściwego komputera Monitora Klawiatury

Komputer IBM PC niezależnie od modelu składa się z: Jednostki centralnej czyli właściwego komputera Monitora Klawiatury 1976 r. Apple PC Personal Computer 1981 r. pierwszy IBM PC Komputer jest wart tyle, ile wart jest człowiek, który go wykorzystuje... Hardware sprzęt Software oprogramowanie Komputer IBM PC niezależnie

Bardziej szczegółowo

Tranzystor JFET i MOSFET zas. działania

Tranzystor JFET i MOSFET zas. działania Tranzystor JFET i MOSFET zas. działania brak kanału v GS =v t (cutoff ) kanał otwarty brak kanału kanał otwarty kanał zamknięty w.2, p. kanał zamknięty Co było na ostatnim wykładzie? Układy cyfrowe Najczęściej

Bardziej szczegółowo

Budowa komputera Komputer computer computare

Budowa komputera Komputer computer computare 11. Budowa komputera Komputer (z ang. computer od łac. computare obliczać) urządzenie elektroniczne służące do przetwarzania wszelkich informacji, które da się zapisać w formie ciągu cyfr albo sygnału

Bardziej szczegółowo

PRUS. projekt dokumentacja końcowa

PRUS. projekt dokumentacja końcowa Adrian Antoniewicz Marcin Dudek Mateusz Manowiecki 17.01.2007 PRUS projekt dokumentacja końcowa Temat: Układ zdalnego sterowania (za pomocą interfejsu RS-232) wyświetlaczem LCD. Spis treści: 1. 2. 3. 4.

Bardziej szczegółowo

Wstęp...9. 1. Architektura... 13

Wstęp...9. 1. Architektura... 13 Spis treści 3 Wstęp...9 1. Architektura... 13 1.1. Schemat blokowy...14 1.2. Pamięć programu...15 1.3. Cykl maszynowy...16 1.4. Licznik rozkazów...17 1.5. Stos...18 1.6. Modyfikowanie i odtwarzanie zawartości

Bardziej szczegółowo

Rok akademicki: 2013/2014 Kod: EEL s Punkty ECTS: 2. Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne

Rok akademicki: 2013/2014 Kod: EEL s Punkty ECTS: 2. Poziom studiów: Studia I stopnia Forma i tryb studiów: Stacjonarne Nazwa modułu: Technika mikroprocesorowa Rok akademicki: 2013/2014 Kod: EEL-1-616-s Punkty ECTS: 2 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek: Elektrotechnika Specjalność:

Bardziej szczegółowo

Liczniki, rejestry lab. 09 Mikrokontrolery 8051 cz. 1

Liczniki, rejestry lab. 09 Mikrokontrolery 8051 cz. 1 Liczniki, rejestry lab. 09 Mikrokontrolery 8051 cz. 1 PODSTAWY TECHNIKI CYFROWEJ I MIKROPROCESOROWEJ EIP KATEDRA ENERGOELEKTRONIKI I AUTOMATYKI SYSTEMÓW PRZETWARZANIA ENERGII WWW.KEIASPE.AGH.EDU.PL AKADEMIA

Bardziej szczegółowo

o Instalacja środowiska programistycznego (18) o Blink (18) o Zasilanie (21) o Złącza zasilania (22) o Wejścia analogowe (22) o Złącza cyfrowe (22)

o Instalacja środowiska programistycznego (18) o Blink (18) o Zasilanie (21) o Złącza zasilania (22) o Wejścia analogowe (22) o Złącza cyfrowe (22) O autorze (9) Podziękowania (10) Wstęp (11) Pobieranie przykładów (12) Czego będę potrzebował? (12) Korzystanie z tej książki (12) Rozdział 1. Programowanie Arduino (15) Czym jest Arduino (15) Instalacja

Bardziej szczegółowo

Mikroprocesor Operacje wejścia / wyjścia

Mikroprocesor Operacje wejścia / wyjścia Definicja Mikroprocesor Operacje wejścia / wyjścia Opracował: Andrzej Nowak Bibliografia: Urządzenia techniki komputerowej, K. Wojtuszkiewicz Operacjami wejścia/wyjścia nazywamy całokształt działań potrzebnych

Bardziej szczegółowo

Sprawozdanie z projektu MARM. Część druga Specyfikacja końcowa. Prowadzący: dr. Mariusz Suchenek. Autor: Dawid Kołcz. Data: r.

Sprawozdanie z projektu MARM. Część druga Specyfikacja końcowa. Prowadzący: dr. Mariusz Suchenek. Autor: Dawid Kołcz. Data: r. Sprawozdanie z projektu MARM Część druga Specyfikacja końcowa Prowadzący: dr. Mariusz Suchenek Autor: Dawid Kołcz Data: 01.02.16r. 1. Temat pracy: Układ diagnozujący układ tworzony jako praca magisterska.

Bardziej szczegółowo

Programowanie niskopoziomowe. dr inż. Paweł Pełczyński ppelczynski@swspiz.pl

Programowanie niskopoziomowe. dr inż. Paweł Pełczyński ppelczynski@swspiz.pl Programowanie niskopoziomowe dr inż. Paweł Pełczyński ppelczynski@swspiz.pl 1 Literatura Randall Hyde: Asembler. Sztuka programowania, Helion, 2004. Eugeniusz Wróbel: Praktyczny kurs asemblera, Helion,

Bardziej szczegółowo

Technologia informacyjna. Urządzenia techniki komputerowej

Technologia informacyjna. Urządzenia techniki komputerowej Technologia informacyjna Urządzenia techniki komputerowej System komputerowy = hardware (sprzęt) + software (oprogramowanie) Sprzęt komputerowy (ang. hardware) zasoby o specyficznej strukturze i organizacji

Bardziej szczegółowo

Podsystem graficzny. W skład podsystemu graficznego wchodzą: karta graficzna monitor

Podsystem graficzny. W skład podsystemu graficznego wchodzą: karta graficzna monitor Plan wykładu 1. Pojęcie podsystemu graficznego i karty graficznej 2. Typy kart graficznych 3. Budowa karty graficznej: procesor graficzny (GPU), pamięć podręczna RAM, konwerter cyfrowo-analogowy (DAC),

Bardziej szczegółowo

Podział układów cyfrowych. rkijanka

Podział układów cyfrowych. rkijanka Podział układów cyfrowych rkijanka W zależności od przyjętego kryterium możemy wyróżnić kilka sposobów podziału układów cyfrowych. Poniżej podam dwa z nich związane ze sposobem funkcjonowania układów cyfrowych

Bardziej szczegółowo

PLC1: Programowanie sterowników logicznych SIEMENS SIMATIC S7-300/400 - kurs podstawowy

PLC1: Programowanie sterowników logicznych SIEMENS SIMATIC S7-300/400 - kurs podstawowy PLC1: Programowanie sterowników logicznych SIEMENS SIMATIC S7-300/400 - kurs podstawowy DZIEŃ 1 Idea sterowania procesu lub maszyny: Sterowanie za pomocą przekaźników Sterowanie dedykowane Sterowanie za

Bardziej szczegółowo

Układy Cyfrowe projekt. Korekcja jasności obrazów w 24-bitowym formacie BMP z użyciem funkcji gamma. Opis głównych modułów sprzętowych

Układy Cyfrowe projekt. Korekcja jasności obrazów w 24-bitowym formacie BMP z użyciem funkcji gamma. Opis głównych modułów sprzętowych Michał Leśniewski Tomasz Władziński Układy Cyfrowe projekt Korekcja jasności obrazów w 24-bitowym formacie BMP z użyciem funkcji gamma Opis głównych modułów sprzętowych Realizacja funkcji gamma entity

Bardziej szczegółowo

Architektura komputera. Cezary Bolek. Uniwersytet Łódzki. Wydział Zarządzania. Katedra Informatyki. System komputerowy

Architektura komputera. Cezary Bolek. Uniwersytet Łódzki. Wydział Zarządzania. Katedra Informatyki. System komputerowy Wstęp do informatyki Architektura komputera Cezary Bolek cbolek@ki.uni.lodz.pl Uniwersytet Łódzki Wydział Zarządzania Katedra Informatyki System komputerowy systemowa (System Bus) Pamięć operacyjna ROM,

Bardziej szczegółowo

Adresowanie obiektów. Adresowanie bitów. Adresowanie bajtów i słów. Adresowanie bajtów i słów. Adresowanie timerów i liczników. Adresowanie timerów

Adresowanie obiektów. Adresowanie bitów. Adresowanie bajtów i słów. Adresowanie bajtów i słów. Adresowanie timerów i liczników. Adresowanie timerów Adresowanie obiektów Bit - stan pojedynczego sygnału - wejście lub wyjście dyskretne, bit pamięci Bajt - 8 bitów - wartość od -128 do +127 Słowo - 16 bitów - wartość od -32768 do 32767 -wejście lub wyjście

Bardziej szczegółowo

CompactPCI. PCI Industrial Computers Manufacturers Group (PICMG)

CompactPCI. PCI Industrial Computers Manufacturers Group (PICMG) PCI Industrial Computers Manufacturers Group (PICMG) nowy standard; nowa jakość komputerów realizujących krytyczne zadania w systemach pracujących w trudnych warunkach; Baza specyfikacji: format kaset

Bardziej szczegółowo

Układ sterowania, magistrale i organizacja pamięci. Dariusz Chaberski

Układ sterowania, magistrale i organizacja pamięci. Dariusz Chaberski Układ sterowania, magistrale i organizacja pamięci Dariusz Chaberski Jednostka centralna szyna sygnałow sterowania sygnały sterujące układ sterowania sygnały stanu wewnętrzna szyna danych układ wykonawczy

Bardziej szczegółowo

Technika cyfrowa projekt: Sumator 4 bitowy równoległy

Technika cyfrowa projekt: Sumator 4 bitowy równoległy Technika cyfrowa projekt: Sumator 4 bitowy równoległy Autorzy: Paweł Bara Robert Boczek Przebieg prac projektowych: Zadany układ dostaje na wejściu dwie czterobitowe liczby naturalne, sumuje je, po czym

Bardziej szczegółowo

Metody optymalizacji soft-procesorów NIOS

Metody optymalizacji soft-procesorów NIOS POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Warszawa, 27.01.2011

Bardziej szczegółowo

Technika Mikroprocesorowa

Technika Mikroprocesorowa Technika Mikroprocesorowa Dariusz Makowski Katedra Mikroelektroniki i Technik Informatycznych tel. 631 2648 dmakow@dmcs.pl http://neo.dmcs.p.lodz.pl/tm 1 System mikroprocesorowy? (1) Magistrala adresowa

Bardziej szczegółowo

Układ wykonawczy, instrukcje i adresowanie. Dariusz Chaberski

Układ wykonawczy, instrukcje i adresowanie. Dariusz Chaberski Układ wykonawczy, instrukcje i adresowanie Dariusz Chaberski System mikroprocesorowy mikroprocesor C A D A D pamięć programu C BIOS dekoder adresów A C 1 C 2 C 3 A D pamięć danych C pamięć operacyjna karta

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Tydzień 8 Magistrale systemowe Magistrala Układy składające się na komputer (procesor, pamięć, układy we/wy) muszą się ze sobą komunikować, czyli być połączone. Układy łączymy ze

Bardziej szczegółowo