Architektura komputerów - Pamięć w systemach komputerowych. Andrzej Smolarz Politechnika Lubelska Katedra Elektroniki. Właściwości pamięci w SK

Wielkość: px
Rozpocząć pokaz od strony:

Download "Architektura komputerów - Pamięć w systemach komputerowych. Andrzej Smolarz Politechnika Lubelska Katedra Elektroniki. Właściwości pamięci w SK"

Transkrypt

1 Architektura komputerów - Pamięć w systemach komputerowych Andrzej Smolarz Politechnika Lubelska Katedra Elektroniki 2008/09 Właściwości pamięci w SK PołoŜenie: procesor wewnętrzna (główna) zewnętrzna (pomocnicza) Pojemność: rozmiar słowa liczba słów Sposób dostępu sekwencyjny bezpośredni swobodny skojarzeniowy Jednostka transferu słowo blok Rodzaj fizyczny półprzewodnikowa magnetyczna optyczna Własności fizyczne ulotna / nieulotna wymazywalna / niewymazywalna Organizacja 2008/09 Andrzej Smolarz Architektura komputerów 2 Architektura Komputerów

2 PołoŜenie i pojemność pamięci W kaŝdym systemie komputerowym istnieją pamięci zarówno wewnętrzne, jak i zewnętrzne w stosunku do komputera. Pamięć wewnętrzna jest często identyfikowana z pamięcią główną. Są jednak inne formy pamięci wewnętrznej. Przykładowo procesor wymaga własnej pamięci lokalnej w postaci rejestrów. Ponadto, jednostka sterująca procesora moŝe równieŝ potrzebować własnej pamięci wewnętrznej. Pamięć zewnętrzna składa się z peryferyjnych urządzeń pamięciowych, takich jak pamięci dyskowe i taśmowe, które są dostępne dla procesora poprzez sterowniki wejściawyjścia. Oczywistą własnością pamięci jest jej pojemność. W przypadku pamięci wewnętrznej jest ona zwykle wyraŝana w bajtach (1bajt = 8 bitów) lub w słowach. Powszechnymi długościami słów są: 8, 16, 32 i 64 bity. Pojemność pamięci zewnętrznej jest zwykle wyraŝana w bajtach. 2008/09 Andrzej Smolarz Architektura komputerów 3 Jednostka transferu pamięci Parametrem związanym z pojemnością jest jednostka transferu (ang. transfer unii). W przypadku pamięci wewnętrznej jednostka transferu jest równa liczbie linii danych doprowadzonych do modułu pamięci i wychodzących z niego. Jest ona często równa długości słowa. Słowo. Naturalna" jednostka organizacji pamięci. Zwykle rozmiar słowa jest równy liczbie bitów wykorzystywanych do reprezentowania liczby lub długości rozkazu. Jednostka adresowalna. W wielu systemach jednostką adresowalną jest słowo. Jednak niektóre systemy umoŝliwiają adresowanie na poziomie bajtów. W kaŝdym przypadku zaleŝność między długością adresu A a liczbą adresowalnych jednostek N jest następująca: 2 A = N. Jednostka transferu. W przypadku pamięci głównej jest to liczba bitów jednocześnie odczytywanych z pamięci lub do niej zapisywanych. Jednostka transferu nie musi być równa słowu lub jednostce adresowalnej. W przypadku pamięci zewnętrznej dane są często przekazywane w jednostkach o wiele większych niŝ słowo, określanych jako bloki. 2008/09 Andrzej Smolarz Architektura komputerów 4 Architektura Komputerów

3 Dostęp do pamięci Dostęp sekwencyjny. Pamięć jest zorganizowana za pomocą jednostek danych zwanych rekordami. Dostęp jest moŝliwy w określonej sekwencji liniowej. Do oddzielania rekordów i do pomocy przy odczycie są wykorzystywane przechowywane informacje adresowe. Odczyt i zapis są wykonywane za pomocą tego samego mechanizmu, przy czym proces ten musi się przenosić z pozycji bieŝącej do pozycji poŝądanej, przepuszczając i odrzucając kaŝdy rekord pośredni. W rezultacie czas dostępu do róŝnych rekordów moŝe się bardzo róŝnić. (np. pamięci taśmowe) 2008/09 Andrzej Smolarz Architektura komputerów 5 Dostęp do pamięci Dostęp bezpośredni. Podobnie jak w przypadku dostępu sekwencyjnego, proces odczytu i zapisu w pamięciach o dostępie bezpośrednim jest realizowany za pomocą tego samego mechanizmu. Jednak poszczególne bloki lub rekordy mają unikatowy adres oparty na lokacji fizycznej. Dostęp jest realizowany przez bezpośredni dostęp do najbliŝszego otoczenia, po którym następuje sekwencyjne poszukiwanie, liczenie lub oczekiwanie w celu osiągnięcia lokacji finalnej. Jak poprzednio czas dostępu jest zmienny. (np. pamięci dyskowe) 2008/09 Andrzej Smolarz Architektura komputerów 6 Architektura Komputerów

4 Dostęp do pamięci Dostęp swobodny. KaŜda adresowalna lokacja w pamięci ma unikatowy, fizycznie wbudowany mechanizm adresowania. Czas dostępu do danej lokacji nie zaleŝy od sekwencji poprzednich operacji dostępu i jest stały. Dzięki temu dowolna lokacja moŝe być wybierana swobodnie i jest adresowana i dostępna bezpośrednio. (np. pamięć główna) 2008/09 Andrzej Smolarz Architektura komputerów 7 Dostęp do pamięci Dostęp skojarzeniowy. Jest to rodzaj dostępu swobodnego, który umoŝliwia porównywanie i specyficzne badanie zgodności wybranych bitów wewnątrz słowa, przy czym jest to czynione dla wszystkich słów jednocześnie. Tak więc słowo jest wyprowadzane raczej na podstawie części swojej za-wartości niŝ na podstawie adresu. Podobnie jak w przypadku zwykłych pamięci o dostępie swobodnym, kaŝda lokacja ma własny mechanizm adresowania, a czas dostępu jest stały i niezaleŝny od poprzednich operacji dostępu. (np. pamięć podręczna) 2008/09 Andrzej Smolarz Architektura komputerów 8 Architektura Komputerów

5 Miara wydajności pamięci Czas dostępu. W przypadku pamięci o dostępie swobodnym jest to czas niezbędny do zrealizowania operacji odczytu lub zapisu, to znaczy czas od chwili doprowadzenia adresu do chwili zmagazynowania lub udostępnienia danych. W przypadku pamięci o dostępie nieswobodnym czas dostępu jest czasem potrzebnym na umieszczenie mechanizmu odczytu-zapisu w poŝądanym miejscu. Czas cyklu pamięci. Pojęcie to było pierwotnie stosowane do pamięci o dostępie swobodnym. Czas cyklu składa się z czasu dostępu oraz z dodatkowego czasu, który musi upłynąć, zanim będzie mógł nastąpić kolejny dostęp. Ten dodatkowy czas moŝe być potrzebny dla zaniku sygnałów przejściowych lub do regeneracji danych, jeśli odczyt jest niszczący. 2008/09 Andrzej Smolarz Architektura komputerów 9 Miara wydajności pamięci Szybkość przesyłania (transferu). Jest to szybkość, z jaką dane mogą być wprowadzane do jednostki pamięci lub z niej wyprowadzane. W przypadku pamięci o dostępie swobodnym jest ona równa: 1/(czas cyklu) W przypadku pamięci o dostępie nieswobodnym zachodzi następująca zaleŝność: T N = T A + N / R T N - średni czas odczytu lub zapisu W bitów, T A - średni czas dostępu, N -liczba bitów, R - szybkość transferu w bitach na sekundę [bit/s]. 2008/09 Andrzej Smolarz Architektura komputerów 10 Architektura Komputerów

6 Hierarchia pamięci - przesłanki Ograniczenia przy projektowaniu pamięci komputera mogą być podsumowane za pomocą trzech pytań: Ile? Jak szybko? Za ile? Istnieją wzajemne zaleŝności między podstawowymi parametrami pamięci, to znaczy między kosztem, pojemnością i czasem dostępu: o większa pojemność - mniejszy koszt na bit, o większa pojemność - większy czas dostępu o mniejszy czas dostępu - większy koszt na bit pojemność czas dostępu koszt 1GB setki bajtów ns rejestry miliony EUR dziesiątki kb ns cache L1 setki tys. EUR MB dziesiątki ns cache L1 dziesiątki tys. EUR setki MB setki GB setki ns duŝy wzrost czasu dziesiątki ms pamięć główna pamięć dyskowa tysiące EUR dziesiątki EUR TB, PB powyŝej 1 min pamięć masowa ~ 1EUR 2008/09 Andrzej Smolarz Architektura komputerów 11 Pamięci półprzewodnikowe Rodzaj pamięci Kategoria Wymazywanie Sposób zapisu Ulotność Pamięć o dostępie swobodnym (RAM) odczyt-zapis elektryczne, na poziomie bajta elektryczny ulotna Pamięć stała (ROM) maski Programowalna pamięć stała (PROM) tylko odczyt niemoŝliwe Wymazywania PROM (EPROM) światłem UV. na poziomie mikroukładu nieulolne Pamięć błyskawiczna głównie odczyt Elektryczne na poziomie bloku elektryczny Elektrycznie wymazywalna PROM (EEPROM) elektryczne, na poziomie bajta 2008/09 Andrzej Smolarz Architektura komputerów 12 Architektura Komputerów

7 Pamięć statyczna i dynamiczna Word line Word line Vcc Pass transistor Capacitor Bit line (a) DRAM cell Bit line (b) Typical SRAM cell Compl. bit line Komórka pamięci dynamicznej (a) zawiera mniej elementów niŝ pamięci statycznej (b) - umoŝliwia konstrukcję układów o znacznie większej gęstości upakowania, czyli większą pojemność na układ. Niestety DRAM wymaga okresowego odświeŝania. 2008/09 Andrzej Smolarz Architektura komputerów 13 Pamięć statyczna i dynamiczna Organizacja i obsługa statycznej pamięci RAM odczyt dwa cykle pamięci: stabilny adres & transfer danych zapis jeden cykl pamięci: stabilny adres & transfer danych Organizacja i obsługa pamięci dynamicznej (DRAM) odświeŝanie okresowo ( t= RC = 0,25 1ms / fr= 1 4k/s) rozładowanie (odczyt) > wzmocnienie > przeładowanie (zapis) odczyt rozładowanie (odczyt) > wzmocnienie > przeładowanie (zapis) zapis rozładowanie (odczyt) > wymuszenie > przeładowanie (zapis) 2008/09 Andrzej Smolarz Architektura komputerów 14 Architektura Komputerów

8 OdświeŜanie DRAM Voltage for 1 1 Written Refreshed Refreshed Refreshed Threshold voltage Voltage for 0 0 Stored 10s of ms before needing refresh cycle Time UTRATA WYDAJNOŚCI Pamięć 256 Mb DRAM jest zewnętrznie zorganizowana jako 32M x 8, a wewnętrznie jako macierz 16K x 16K. Wiersze muszą być odświeŝane co maksymalnie 50ms; odświeŝanie zajmuje 100ns. OdświeŜanie wszystkich 16K wierszy zajmuje 16x1024x100ns = 1,64ms. Strata 1,64ms co 50ms daje 1,64/50 = 3,3%całkowitej wydajności. 2008/09 Andrzej Smolarz Architektura komputerów 15 Mikroukłady pamięci Jednocześnie mogą być odczytywane lub zapisywane 4 bity. Logicznie rzecz biorąc, zespół pamięci jest zorganizowany w postaci 4 kwadratowych układów 2048 na 2048 elementów. MoŜliwe są róŝne organizacje fizyczne. W kaŝdym przypadku elementy zespołu są połączone zarówno przez linie poziome (wiersze), jak i pionowe (kolumny). KaŜda linia pozioma jest połączona z końcówkami wybór" kaŝdej komórki w wierszu; kaŝda linia pionowa jest połączona z końcówkami zapis/odczyt kaŝdej komórki w kolumnie. 2008/09 Andrzej Smolarz Architektura komputerów 16 Architektura Komputerów

9 Mikroukłady pamięci W "blokowych" strukturach pamięci sygnałem otwierającym cały wiersz do odczytu jest tzw. RAS (Row Address Strobe). Zaraz po nim podawany jest ciąg impulsów CAS (Column Address Strobe) mających za zadanie pobrać informacje z kolejnych kolumn (układów w module DIMM) otwartego juŝ wcześniej wiersza macierzy pamięci. Z pojęciem RAS i CAS związane są dwa istotne parametry uŝytkowe pamięci operacyjnej - tak zwane opóźnienie "RAS to CAS" oraz latencja CAS (ang. CAS Latency). Opóźnienie "RAS to CAS" to czas pomiędzy oboma typami sygnału, potrzebny na włączenie detektora ładunku gromadzonego na kondensatorze. CAS Latency określa zaś liczbę taktów zegara od podania impulsu CAS do otrzymania na detektorze zawartości komórki pamięci. Obecnie dostępne na rynku moduły pamięci (CAS-2, CAS-3) charakteryzują się dwoma albo trzema "straconymi" cyklami zegarowymi. 2008/09 Andrzej Smolarz Architektura komputerów 17 Organizacja modułu pamięci Jeśli mikroukład RAM zawiera tylko 1 bit na słowo, potrzeba liczby mikroukładów równej przynajmniej liczbie bitów w słowie. Na rysunku jest pokazane przykładowo, jak moŝe być zorganizowany moduł pamięci zawierający 256K słów 8- bitowych. W przypadku 256K słów wymagany jest adres 18-bitowy; jest on dostarczany do modułu z pewnego źródła zewnętrznego (np. z linii adresowych magistrali, do której moduł jest dołączony). Adres jest doprowadzany do 8 mikroukładów 256K x 1, z których kaŝdy umoŝliwia wejście/wyjście 1 bitu. 2008/09 Andrzej Smolarz Architektura komputerów 18 Architektura Komputerów

10 Organizacja modułu 1M Na rysunku jest pokazana moŝliwa organizacja pamięci składającej się z l M słów 8-bitowych. W tym przypadku występują 4 kolumny mikroukładów; kaŝda kolumna zawiera 256K słów uporządkowanych w sposób pokazany na poprzedniej folii. W przypadku 1M słów wymaganych jest 20 linii adresowych. Osiemnaście najmniej znaczących bitów doprowadza się do wszystkich 32 modułów. Dwa najbardziej znaczące bity są doprowadzone do modułu logicznego wyboru grupy, który wysyła sygnał uaktywnienia mikroukładu do jednej z 4 kolumn modułów. 2008/09 Andrzej Smolarz Architektura komputerów 19 Nowe architektury pamięci EDRAM W pamięci podręcznej SRAM jest przechowywana cała zawartość ostatnio odczytywanego wiersza. EDO Extended Data Out memory, utrzymanie danej po zaniku adresu = moŝliwość adresowania kolejnej lokacji przed zakończeniem poprzedniego transferu SDRAM (synchronous DRAM) synchronizacja wejścia i wyjścia, 4-banki pamięci, 25% szybsza od EDO DDR (double-data rate SDRAM), SDRAM II szybsza wersja SDRAM umoŝliwiająca odczyt danych na obu zboczach CLK RDRAM (Rambus DRAM) zwiększona przepustowość wewnętrzna SLDRAM (Synclink DRAM) 16 banków pamięci, nowy interface i logika sterująca 2008/09 Andrzej Smolarz Architektura komputerów 20 Architektura Komputerów

11 Pamięć EDRAM i EDO RAM Na rysunku jest pokazana 4Mb wersja pamięci EDRAM. W pamięci podręcznej SRAM jest przechowywana cała zawartość ostatnio odczytywanego wiersza, który składa się z 2048 bitów lub z 512 porcji 4-bitowych. W komparatorze jest przechowywany 11-bitowy adres ostatnio wybieranego wiersza. Jeśli następny dostęp dotyczy tego samego wiersza, to wymagane jest tylko dotarcie do szybkiej pamięci podręcznej SRAM. Inne rozwiązania dynamicznych asynchronicznych pamięci to pamięci typu FPM- RAM (Fast Page Mode RAM) i EDO-RAM (Extended Data Out RAM). Najprostszą z nowych architektur DRAM jest wzbogacona pamięć DRAM (EDRAM), opracowana w firmie Ramtron. Pamięć EDRAM zawiera małą pamięć podręczną SRAM w typowym mikroukładzie DRAM. 2008/09 Andrzej Smolarz Architektura komputerów 21 Synchroniczna pamięć DRAM W przeciwieństwie do typowej pamięci DRAM, która jest asynchroniczna, wymiana danych między pamięcią SDRAM a procesorem jest synchronizowana przez sygnał zegara zewnętrznego i zachodzi z pełną szybkością magistrali procesor-pamięć bez narzucania stanów oczekiwania. Procesor lub inna jednostka nadrzędna wydaje rozkaz i podaje informację adresową, które są zatrzaskiwane w pamięci DRAM. Pamięć DRAM udziela odpowiedzi po upływie pewnej liczby cykli zegara. W tym czasie jednostka nadrzędna moŝe bezpiecznie realizować inne cele, a pamięć SDRAM przetwarza zgłoszone zapotrzebowanie. 2008/09 Andrzej Smolarz Architektura komputerów 22 Architektura Komputerów

12 DDR SDRAM DDR1 SDRAM ujrzała światło dzienne w 1999 roku. Jest ona modyfikacją dotychczasowej Synchronous DRAM (SDRAM). W pamięci typu DDR SDRAM dane przesyłane są w czasie trwania zarówno narastającego jak i opadającego zbocza zegara, przez co uzyskana została dwa razy większa przepustowość niŝ w przypadku konwencjonalnej SDRAM typu PC-100 i PC-133. Układy zasilane są napięciem 2,5V a nie 3,3V co, wraz ze zmniejszeniem pojemności wewnątrz układów pamięci, powoduje znaczące ograniczenie poboru mocy. Pamięć DDR2 charakteryzuje się wyŝszą efektywną częstotliwością taktowania oraz niŝszym poborem prądu. Moduły zasilane są napięciem 1,8V. Układy terminujące zostały przeniesione z płyty głównej do wnętrza pamięci (ang. ODT, On Die Termination). Zapobiega to powstaniu błędów wskutek transmisji odbitych sygnałów. DDR2 przesyła 4 bity w ciągu jednego taktu zegara (DDR tylko 2). Moduły pamięci DDR2 nie są kompatybilne z modułami DDR. Pamięć DDR3 wykonana jest w technologii 90 nm. Zasilanie 1,5V. większa częstotliwość zegara (obecnie do 1600MHz). Większe opóźnienie sygnału CAS. Zmniejszony pobór mocy. Pamięci DDR3 nie są kompatybilne wstecz. 2008/09 Andrzej Smolarz Architektura komputerów 23 VCM SDRAM Innym ciekawym rozszerzeniem architektury SDRAM są pamięci Virtual Channel Memory (VCM-SDRAM), opracowane przez firmę NEC. W układach typu VCM zastosowano 16 dodatkowych niezaleŝnych buforów (rejestrów) o pojemności 1KB. KaŜdy z nich to bardzo szybka pamięć o swobodnym dostępie, wykonana w technologii SRAM Wszystkie bufory są rejestrami wejściowymi tzw. kanałów wirtualnych. Do nich odwołują się urządzenia uprawnione (Memory Master) do zapisu/odczytu z pamięci operacyjnej. Mogą to być m.in. kontrolery AGP, PCI, pamięci cache L2 czy teŝ sam procesor. W normalnych pamięciach w trakcie obsługi jednego urządzenia inne muszą oczekiwać na zwolnienie magistrali pamięci do momentu zakończenia odczytu/zapisu. W przypadku VCM-ów kaŝdy Memory Master moŝe korzystać jednocześnie z dowolnej liczby przydzielonych mu kanałów wirtualnych. Eliminuje to przestoje spowodowane jednoczesnym korzystaniem z róŝnych obszarów pamięci przez kilka urządzeń. Dodatkową zaletą modułów VCM jest to, Ŝe wszystkie operacje zapisu i odczytu wykonywane są w szybkiej pamięci SRAM, a następnie przepisywane na odpowiedni obszar pamięci DRAM. 2008/09 Andrzej Smolarz Architektura komputerów 24 Architektura Komputerów

13 RDRAM RAMBUS Mikroukłady RDRAM mają obudowy pionowe, ze wszystkimi końcówkami po jednej stronie (Rambus In-Line Memory Module RIMM). Podstawową róŝnicą w budowie pamięci Rambus w stosunku do tradycyjnego SDRAM-u jest zrezygnowanie z rozproszonego przechowywania danych (w SDRAM-ach 8 bitów jest zawsze odczytywanych z ośmiu układów - po jednym z kaŝdej kości - lub ośmiu stron pamięci znajdujących się w kilku, zazwyczaj w trzech, chipach) na rzecz całkowitej niezaleŝności pojedynczego układu scalonego i komunikacji pakietowej. Niestety, takie rozwiązanie podraŝa koszty produkcji, gdyŝ kaŝda kość musi być wyposaŝona we własne układy logiczne (Rambus Interface). Moduły RDRAM oraz kontroler pamięci komunikują się ze sobą za pomocą wewnętrznej magistrali - tzw. kanałów transmisyjnych. Do jednego kanału moŝe być podłączonych do 32 układów pamięci, pogrupowanych w maksymalnie trzech modułach RIMM, a kaŝdy z kanałów zakończony jest terminatorem. W rozbudowanych systemach dozwolone jest stosowanie kilku niezaleŝnych kanałów dostarczających równoległe dane do poszczególnych urządzeń. W obecnie produkowanych modułach moŝliwe jest korzystanie z dwóch niezaleŝnych kanałów Rambus. 2008/09 Andrzej Smolarz Architektura komputerów 25 RDRAM RAMBUS W pamięciach Rambus odczyt danych realizowany jest częściowo sekwencyjnie, co wynika z podzielenia matrycy DRAM na osiem jednakowych części. W jednym takcie zegarowym zostaje odczytana informacja tylko z pojedynczego bloku pamięci dynamicznej. Kolejne dane z następnego banku pobierane są przy późniejszych cyklach zegarowych. Po odczytaniu wszystkich ośmiu bitów dane wysyłane są "na zewnątrz" kości w postaci pojedynczego pakietu. Poszczególne układy RDRAM komunikują się ze sobą oraz z zewnętrznym kontrolerem pamięci (Direct Rambus Controller) umieszczonym w chipsecie płyty głównej za pomocą wewnętrznej magistrali - tzw. kanałów. Przekazywane są nimi zarówno dane, jak i informacje sterujące. Do ich przesyłania wykorzystano transmisję pakietową, pozwalającą na zredukowanie liczby zewnętrznych wyprowadzeń oraz łatwe skalowanie pojemności i stosowanie róŝnej liczby układów bez wprowadzania zmian w architekturze 2008/09 Andrzej Smolarz Architektura komputerów 26 Architektura Komputerów

14 Opóźnienia w SDRAM Główną przyczyną niewydolności podsystemu pamięci operacyjnej jest szereg opóźnień powstających na drodze procesor-ram-procesor. JeŜeli CPU potrzebuje pewnych danych, najpierw informowany jest o tym kontroler pamięci (chipset). Dotarcie tej informacji do chipsetu zajmuje zwykle dwa takty zegarowe. Następnie kontroler ustala, w których komórkach przechowywana jest Ŝądana informacja, i wysyła sygnał RAS otwierający całą stronę pamięci (jest to kolejny takt zegarowy) Dalej muszą zostać włączone wzmacniacze operacyjne, które przepro-wadzą detekcję ładunku zgromadzonego na kondensatorze w komórce pamięci. Trwa to w zaleŝności od konstrukcji DRAM-u od dwóch do trzech cykli zegarowych (jest to tzw. opóźnienie RAS to CAS Delay). 2008/09 Andrzej Smolarz Architektura komputerów 27 Opóźnienia w SDRAM Później naleŝy uaktywnić odpowiednie linie bitów we wszystkich układach scalonych stanowiących moduł DIMM (zazwyczaj jest ich osiem). Czas potrzebny na tę operację do momentu otrzymania odpowiedzi na detektorze ładunku nosi nazwę CAS Latency i wynosi od dwóch do trzech cykli zegarowych. Przekazanie bitu ze wzmacniacza operacyjnego na wyjście modułu DIMM to następny takt. Dodając do tego czas potrzebny na dotarcie informacji (8 bitów) z powrotem do procesora, otrzymamy w najlepszym wypadku dziewięć taktów zegara. Na odczytanie następnych trzech bajtów wystarczą trzy kolejne cykle, gdyŝ trzeba jedynie przestawić linię bitu na sąsiednią. Taki sposób pracy pamięci RAM określany jest jako , poniewaŝ na odczytanie 32-bitowego słowa potrzeba 12 taktów zegara systemowego - w BIOS-e płyty głównej ta informacja często przedstawiana jest jako , gdyŝ tam podawane są opóźnienia związane tylko z cyklami CAS. 2008/09 Andrzej Smolarz Architektura komputerów 28 Architektura Komputerów

15 Opóźnienia w SDRAM NaleŜy się równieŝ liczyć z opóźnieniami podczas zapisu do pamięci DRAM, gdyŝ kondensator nie moŝe być natychmiast ponownie naładowany (Precharge Time) i trzeba na to zwykle "zmarnować" od dwóch do trzech cykli zegarowych. Producenci kości pamięci czasy RAS to CAS Delay, CAS Latency oraz Precharge Time podają w postaci ciągu trzech liczb, np. x222 lub x333. Informacje o moŝliwościach pamięci, z których zbudowany został DIMM, zawarte są w tzw. układzie SPD, czyli niewielkiej kości EEPROM, która ma za zadanie m.in. udostępnić płycie główne komputera wszystkie dane o czasach odświeŝania kości RAM-u. 2008/09 Andrzej Smolarz Architektura komputerów 29 Pamięci RamLink Najbardziej radykalne odstępstwo od tradycyjnych pamięci DRAM stanowi pamięć RamLink, opracowana przez grupę roboczą IEEE jako część przedsięwzięcia nazwanego spójnym interfejsem skalowalnym (Scalable Coherent Interface - SCI). Projektanci pamięci RamLink skoncentrowali się raczej na interfejsie procesor-pamięć niŝ na wewnętrznej architekturze mikroukładów DRAM. RamLink jest interfejsem pamięciowym z połączeniami zorganizowanymi w postaci pierścienia. Ruchem w pierścieniu zarządza sterownik pamięci, który wysyła wiadomości do mikroukładów DRAM działających jako węzły w pierścieniowej sieci. Dane są wymieniane w formie pakietów. 2008/09 Andrzej Smolarz Architektura komputerów 30 Architektura Komputerów

16 Korekcja błędów W systemach pamięci półprzewodnikowych występują błędy. MoŜna je podzielić na błędy stałe i przypadkowe. Błąd stały jest permanentnym defektem fizycznym powodującym, Ŝe uszkodzona komórka lub komórki pamięciowe nie są w stanie niezawodnie przechowywać danych, lecz pozostają w stanie 0 lub l, albo błędnie przeskakują między 0 a 1. Błąd przypadkowy jest losowym i nieniszczącym zjawiskiem, które zmienia zawartość jednej lub wielu komórek pamięciowych, bez uszkadzania samej pamięci. Zarówno błędy stałe, jak i przypadkowe są oczywiście niepoŝądane i większość współczesnych systemów pamięci głównych zawiera układy logiczne wykrywające i korygujące błędy. 2008/09 Andrzej Smolarz Architektura komputerów 31 Kody korekcyjne Gdy dane mają być wczytane do pamięci, przeprowadza się na tych danych obliczenia, określane jako funkcja/, w celu utworzenia kodu do korekty błędów. Zarówno kod, jak i dane są przechowywane. W rezultacie, jeśli ma być zapisane M-bitowe słowo danych, a kod ma długość K bitów, to aktualna dłu-gość przechowywanego słowa wynosi M+K bitów. Gdy uprzednio zmagazynowane słowo jest odczytywane, kod jest wykorzystywany do wykrywania i ewentualnej korekty błędów. Generowany jest nowy zestaw K bitów kodowych z M bitów danych, po czym porównuje się go z pobranymi bitami kodowymi. Porównanie prowadzi do jednego z trzech wyników: Nie wykryto Ŝadnych błędów. Pobrane bity danych są wysyłane. Wykryto błąd, którego korekta jest moŝliwa. Bity danych i bity korekty błędu są doprowadzane do układu korektora, który tworzy poprawiony zestaw M bitów przeznaczony do wysłania. Wykryto błąd niemoŝliwy do poprawienia. Stan ten jest zgłaszany. 2008/09 Andrzej Smolarz Architektura komputerów 32 Architektura Komputerów

17 Kod Hamminga W przypadku trzech krzyŝujących się okręgów mamy do czynienia z siedmioma przedziałami. Przypisujemy 4 bity danych przedziałom wewnętrznym (a). Pozostałe przedziały są wypełniane tzw. bitami parzystości. Bit parzystości jest wybierany tak, Ŝe całkowita liczba jedynek w jego okręgu jest parzysta (b) Jeśli teraz błąd spowoduje zmianę jednego z bitów danych (c), jest on łatwy do wykrycia. Sprawdzając bity parzystości, wykrywamy sprzeczności w okręgach A i C, ale nie w B. Tylko jeden z 7 przedziałów znajduje się w A i C, ale nie w B. Błąd moŝe więc być poprawiony przez zmianę tego bitu (d). M=4 2008/09 Andrzej Smolarz Architektura komputerów 33 A A C C B B 0 -> 1 A A C C B B Konstruowanie kodu Słowo zawierające 8 bitów danych wymaga 4 bitów kontrolnych. Zazwyczaj generuje się syndrom 4-bitowy o następujących własnościach: Jeśli syndrom zawiera same 0, to znaczy, Ŝe nie został wykryty Ŝaden błąd Jeśli syndrom zawiera jedną i tylko jedna 1, błąd wystąpił w jednym z bitów kontrolnych. Wówczas korekta jest niepotrzebna. Jeśli syndrom zawiera więcej niŝ jedną 1, to wartość numeryczna syndromu wskazuje pozycję błędnego bitu danych. Korekta polega na inwersji tego bitu. 2008/09 Andrzej Smolarz Architektura komputerów 34 Architektura Komputerów

18 Konstruowanie kodu W celu uzyskania takich własności bity danych i bity kontrolne są aranŝowane w postaci słowa 12-bitowego w sposób pokazany obok. Pozycje bitowe są ponumerowane od 1 do 12. Pozycje bitowe, których numery są potęgą 2, są wyznaczone jako bity kontrolne. Bity kontrolne są obliczane następująco (symbol oznacza operację LUB wykluczające (EXOR)): C1 = M1 M2 M4 M5 M7 C2 = M1 M3 M4 M6 M7 C4 = M2 M3 M4 M8 C8 = M5 M6 M7 M8 2008/09 Andrzej Smolarz Architektura komputerów 35 Konstruowanie kodu KaŜdy bit kontrolny działa na kaŝdej pozycji bitu danych, której numer zawiera 1 w odpowiedniej pozycji kolumny. Tak więc: pozycje bitowe danych 3, 5, 7, 9 i 11 zawierają człon 2 0 ; pozycje bitowe 3, 6, 7, 10 i 11 zawierają człon 2 1 ; pozycje bitowe 5, 6, 7 i 12 - człon 2 2 ; a pozycje bitowe 9, 10, 11 i Inaczej mówiąc, pozycja bitowa n jest sprawdzana przez bity C, takie, Ŝe i=n Na przykład pozycja 7 jest sprawdzana przez bity znajdujące się na pozycjach 4, 2 i 1; zachodzi więc = /09 Andrzej Smolarz Architektura komputerów 36 Architektura Komputerów

19 Przykład 1 Słowo do zapisu M8 1 M7 1 M6 0 M5 1 M4 1 M3 1 M2 0 M1 1 Słowo zapisane 12 M M M M C M M M C M C C1 0 Słowo odczytane 12 M M M M C M M M C M C C1 0 Słowo kontrolne odczytane 08 C C C C1 0 Słowo kontrolne obliczone 08 C C C C1 1 Słowo syndrom S8: 1 0 = 1 S4: 1 1 = 0 S2: 0 1 = 1 S1: 0 1 = 1 S=1011 b =11 d czyli błąd na pozycji 11 C1 = M1 M2 M4 M5 M7 C2 = M1 M3 M4 M6 M7 C4 = M2 M3 M4 M8 C8 = M5 M6 M7 M8 2008/09 Andrzej Smolarz Architektura komputerów 37 Przykład 2 Słowo do zapisu M8 1 M7 1 M6 0 M5 1 M4 1 M3 1 M2 0 M1 1 Słowo zapisane 12 M M M M C M M M C M C C1 0 Słowo odczytane 12 M M M M C M M M C M C C1 0 Słowo kontrolne odczytane 08 C C C C1 0 Słowo kontrolne obliczone 08 C C C C1 0 Słowo syndrom S8: 1 1 = 0 S4: 0 1 = 1 S2: 0 0 = 0 S1: 0 0 = 0 S=0100 b =4 d czyli błąd na pozycji 4 bit kontrolny C1 = M1 M2 M4 M5 M7 C2 = M1 M3 M4 M6 M7 C4 = M2 M3 M4 M8 C8 = M5 M6 M7 M8 2008/09 Andrzej Smolarz Architektura komputerów 38 Architektura Komputerów

20 Długość słowa o korekcja Liczba bitów danych Poprawianie pojedynczego błędu Poprawianie pojedynczego błędu, wykrywanie podwójnego błędu Bity kontrolne % wzrostu Bity kontrolne % wzrostu , , , , , , , ,25 9 7, , , /09 Andrzej Smolarz Architektura komputerów 39 Kody SEC-DED Opisany na wcześniejszych foliach kod jest znany jako kod poprawiania pojedynczego błędu (ang. single-error-correcting - SEC). Najczęściej jednak pamięć półprzewodnikowa jest wyposaŝana w kod poprawiania pojedynczego i podwójnego błędu (SEC-DED). W przytoczonej tabeli, takie kody wymagają jednego dodatkowego bitu w porównaniu z kodami SEC. Na rysunku jest pokazane, jak działa taki kod, znów dla przypadku 4-bitowego słowa danych. Przedstawiona sekwencja ujawnia, Ŝe jeśli występują dwa błędy (c), procedura kontrolna jest błędna i pogarsza problem, tworząc trzeci błąd (e). W celu pokonania tej trudności, dodany jest ósmy bit, taki Ŝe całkowita liczba jedynek na wykresie jest parzysta. Dodatkowy bit parzystości umoŝliwia wychwycenie błędu (f). 2008/09 Andrzej Smolarz Architektura komputerów 40 Architektura Komputerów

21 Dziękuję za uwagę Architektura Komputerów

Architektura komputerów

Architektura komputerów Architektura komputerów Tydzień 9 Pamięć operacyjna Właściwości pamięci Położenie Pojemność Jednostka transferu Sposób dostępu Wydajność Rodzaj fizyczny Własności fizyczne Organizacja Położenie pamięci

Bardziej szczegółowo

Pamięć operacyjna komputera

Pamięć operacyjna komputera Pamięć operacyjna komputera Zasada działania pamięci RAM Pamięć operacyjna (robocza) komputera zwana pamięcią RAM (ang. Random Access Memory pamięć o swobodnym dostępie) służy do przechowywania danych

Bardziej szczegółowo

WYKŁAD 5 RAID. Do "wydobycia" konkretnej informacji z takiego

WYKŁAD 5 RAID. Do wydobycia konkretnej informacji z takiego WYKŁAD 5 Pamięć DRAM przypomnienie wzbogacona synchroniczna modyfikacje pamięci SDRAM pamięć RDRAM (RAMBUS) opóźnienia w SDRAM pamięci RamLink Dysk magnetyczny format parametry RAID struktura poziom 0

Bardziej szczegółowo

Pamięć RAM. Pudełko UTK

Pamięć RAM. Pudełko UTK Pamięć RAM M@rek Pudełko UTK Pamięć RAM Pamięć RAM (ang. Random Access Memory - pamięć o swobodnym dostępie). Pamięć operacyjna (robocza) komputera. Służy do przechowywania danych aktualnie przetwarzanych

Bardziej szczegółowo

Pamięć. Podstawowe własności komputerowych systemów pamięciowych:

Pamięć. Podstawowe własności komputerowych systemów pamięciowych: Pamięć Podstawowe własności komputerowych systemów pamięciowych: Położenie: procesor, wewnętrzna (główna), zewnętrzna (pomocnicza); Pojemność: rozmiar słowa, liczba słów; Jednostka transferu: słowo, blok

Bardziej szczegółowo

Budowa pamięci RAM Parametry: tcl, trcd, trp, tras, tcr występują w specyfikacjach poszczególnych pamięci DRAM. Czym mniejsze są wartości tych

Budowa pamięci RAM Parametry: tcl, trcd, trp, tras, tcr występują w specyfikacjach poszczególnych pamięci DRAM. Czym mniejsze są wartości tych Budowa pamięci RAM Parametry: tcl, trcd, trp, tras, tcr występują w specyfikacjach poszczególnych pamięci DRAM. Czym mniejsze są wartości tych parametrów, tym szybszy dostęp do komórek, co przekłada się

Bardziej szczegółowo

PAMIĘCI. Część 1. Przygotował: Ryszard Kijanka

PAMIĘCI. Część 1. Przygotował: Ryszard Kijanka PAMIĘCI Część 1 Przygotował: Ryszard Kijanka WSTĘP Pamięci półprzewodnikowe są jednym z kluczowych elementów systemów cyfrowych. Służą do przechowywania informacji w postaci cyfrowej. Liczba informacji,

Bardziej szczegółowo

Zasada działania pamięci RAM Pamięć operacyjna (robocza) komputera - zwana pamięcią RAM (ang. Random Access Memory - pamięć o swobodnym dostępie)

Zasada działania pamięci RAM Pamięć operacyjna (robocza) komputera - zwana pamięcią RAM (ang. Random Access Memory - pamięć o swobodnym dostępie) Zasada działania pamięci RAM Pamięć operacyjna (robocza) komputera - zwana pamięcią RAM (ang. Random Access Memory - pamięć o swobodnym dostępie) służy do przechowywania danych aktualnie przetwarzanych

Bardziej szczegółowo

RODZAJE PAMIĘCI RAM. Cz. 1

RODZAJE PAMIĘCI RAM. Cz. 1 RODZAJE PAMIĘCI RAM Cz. 1 1 1) PAMIĘĆ DIP DIP (ang. Dual In-line Package), czasami nazywany DIL - w elektronice rodzaj obudowy elementów elektronicznych, głównie układów scalonych o małej i średniej skali

Bardziej szczegółowo

LEKCJA. TEMAT: Pamięć operacyjna.

LEKCJA. TEMAT: Pamięć operacyjna. TEMAT: Pamięć operacyjna. LEKCJA 1. Wymagania dla ucznia: zna pojęcia: pamięci półprzewodnikowej, pojemności, czas dostępu, transfer, ROM, RAM; zna podział pamięci RAM i ROM; zna parametry pamięci (oznaczone

Bardziej szczegółowo

PAMIĘCI SYNCHRONICZNE

PAMIĘCI SYNCHRONICZNE PAMIĘCI SYNCHRONICZNE SDRAM SDRAM Synchroniczna, dynamiczna pamięć RAM Pamięci SDRAM to moduły 168-pinowe z 64-bitową magistralą (lub 72-bitową z kontrolą parzystości). Jest ich kilka rodzajów, ale te

Bardziej szczegółowo

Struktura i funkcjonowanie komputera pamięć komputerowa, hierarchia pamięci pamięć podręczna. System operacyjny. Zarządzanie procesami

Struktura i funkcjonowanie komputera pamięć komputerowa, hierarchia pamięci pamięć podręczna. System operacyjny. Zarządzanie procesami Rok akademicki 2015/2016, Wykład nr 6 2/21 Plan wykładu nr 6 Informatyka 1 Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr II, studia niestacjonarne I stopnia Rok akademicki 2015/2016

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Wykład 12 Jan Kazimirski 1 Magistrale systemowe 2 Magistrale Magistrala medium łączące dwa lub więcej urządzeń Sygnał przesyłany magistralą może być odbierany przez wiele urządzeń

Bardziej szczegółowo

Zaleta duża pojemność, niska cena

Zaleta duża pojemność, niska cena Pamięć operacyjna (DRAM) jest przestrzenią roboczą mikroprocesora przechowującą otwarte pliki systemu operacyjnego, uruchomione programy oraz efekty ich działania. Wymianą informacji pomiędzy mikroprocesorem

Bardziej szczegółowo

Architektura systemu komputerowego

Architektura systemu komputerowego Zakres przedmiotu 1. Wstęp do systemów mikroprocesorowych. 2. Współpraca procesora z pamięcią. Pamięci półprzewodnikowe. 3. Architektura systemów mikroprocesorowych. 4. Współpraca procesora z urządzeniami

Bardziej szczegółowo

Pamięć operacyjna (robocza) komputera - zwana pamięcią RAM (ang. Random Acces Memory - pamięć o swobodnym dostępie) służy do przechowywania danych

Pamięć operacyjna (robocza) komputera - zwana pamięcią RAM (ang. Random Acces Memory - pamięć o swobodnym dostępie) służy do przechowywania danych Pamięć operacyjna (robocza) komputera - zwana pamięcią RAM (ang. Random Acces Memory - pamięć o swobodnym dostępie) służy do przechowywania danych aktualnie przetwarzanych przez program oraz ciągu rozkazów,

Bardziej szczegółowo

Wykład II. Pamięci półprzewodnikowe. Studia Podyplomowe INFORMATYKA Architektura komputerów

Wykład II. Pamięci półprzewodnikowe. Studia Podyplomowe INFORMATYKA Architektura komputerów Studia Podyplomowe INFORMATYKA Architektura komputerów Wykład II Pamięci półprzewodnikowe 1, Pamięci półprzewodnikowe Pamięciami półprzewodnikowymi nazywamy cyfrowe układy scalone przeznaczone do przechowywania

Bardziej szczegółowo

Magistrala systemowa (System Bus)

Magistrala systemowa (System Bus) Cezary Bolek cbolek@ki.uni.lodz.pl Uniwersytet Łódzki Wydział Zarządzania Katedra Informatyki systemowa (System Bus) Pamięć operacyjna ROM, RAM Jednostka centralna Układy we/wy In/Out Wstęp do Informatyki

Bardziej szczegółowo

43 Pamięci półprzewodnikowe w technice mikroprocesorowej - rodzaje, charakterystyka, zastosowania

43 Pamięci półprzewodnikowe w technice mikroprocesorowej - rodzaje, charakterystyka, zastosowania 43 Pamięci półprzewodnikowe w technice mikroprocesorowej - rodzaje, charakterystyka, zastosowania Typy pamięci Ulotność, dynamiczna RAM, statyczna ROM, Miejsce w konstrukcji komputera, pamięć robocza RAM,

Bardziej szczegółowo

Urządzenia Techniki. Klasa I TI 5. PAMIĘĆ OPERACYJNA.

Urządzenia Techniki. Klasa I TI 5. PAMIĘĆ OPERACYJNA. 5. PAMIĘĆ OPERACYJNA. Pamięć cyfrowa - układ cyfrowy lub mechaniczny przeznaczony do przechowywania danych binarnych. Do prawidłowego funkcjonowania procesora potrzebna jest pamięć operacyjna, która staje

Bardziej szczegółowo

Pamięci półprzewodnikowe w oparciu o książkę : Nowoczesne pamięci. Ptc 2013/2014 13.12.2013

Pamięci półprzewodnikowe w oparciu o książkę : Nowoczesne pamięci. Ptc 2013/2014 13.12.2013 Pamięci półprzewodnikowe w oparciu o książkę : Nowoczesne pamięci półprzewodnikowe, Betty Prince, WNT Ptc 2013/2014 13.12.2013 Pamięci statyczne i dynamiczne Pamięci statyczne SRAM przechowywanie informacji

Bardziej szczegółowo

Komputerowa pamięć. System dziesiątkowego (decymalny)

Komputerowa pamięć. System dziesiątkowego (decymalny) Komputerowa pamięć 1b (bit) - to najmniejsza jednostka informacji w której można zapamiętać 0 lub 1 1B (bajt) - to 8 bitów tzw. słowo binarne (zapamiętuje jeden znak lub liczbę z zakresu od 0-255) 1KB

Bardziej szczegółowo

Wykład II. Pamięci operacyjne. Studia stacjonarne Pedagogika Budowa i zasada działania komputera

Wykład II. Pamięci operacyjne. Studia stacjonarne Pedagogika Budowa i zasada działania komputera Studia stacjonarne Pedagogika Budowa i zasada działania komputera Wykład II Pamięci operacyjne 1 Część 1 Pamięci RAM 2 I. Pamięć RAM Przestrzeń adresowa pamięci Pamięć podzielona jest na słowa. Podczas

Bardziej szczegółowo

Architektura komputera. Cezary Bolek. Uniwersytet Łódzki. Wydział Zarządzania. Katedra Informatyki. System komputerowy

Architektura komputera. Cezary Bolek. Uniwersytet Łódzki. Wydział Zarządzania. Katedra Informatyki. System komputerowy Wstęp do informatyki Architektura komputera Cezary Bolek cbolek@ki.uni.lodz.pl Uniwersytet Łódzki Wydział Zarządzania Katedra Informatyki System komputerowy systemowa (System Bus) Pamięć operacyjna ROM,

Bardziej szczegółowo

dr inż. Jarosław Forenc

dr inż. Jarosław Forenc Informatyka 1 Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr II, studia niestacjonarne I stopnia Rok akademicki 2009/2010 Wykład nr 7 (15.05.2010) dr inż. Jarosław Forenc Rok akademicki

Bardziej szczegółowo

Temat: Pamięć operacyjna.

Temat: Pamięć operacyjna. Temat: Pamięć operacyjna. Pamięć operacyjna - inaczej RAM (ang. Random Access Memory) jest pamięcią o swobodnym dostępie - pozwalającą na odczytywanie i zapisywanie danych na dowolnym obszarze ich przechowywania.

Bardziej szczegółowo

Podstawy Informatyki JA-L i Pamięci

Podstawy Informatyki JA-L i Pamięci Podstawy Informatyki alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi Plan wykładu 1 Operator elementarny Proste układy z akumulatorem Realizacja dodawania Realizacja JAL dla pojedynczego bitu 2 Parametry

Bardziej szczegółowo

Pamięć wirtualna. Przygotował: Ryszard Kijaka. Wykład 4

Pamięć wirtualna. Przygotował: Ryszard Kijaka. Wykład 4 Pamięć wirtualna Przygotował: Ryszard Kijaka Wykład 4 Wstęp główny podział to: PM- do pamięci masowych należą wszelkiego rodzaju pamięci na nośnikach magnetycznych, takie jak dyski twarde i elastyczne,

Bardziej szczegółowo

Wstęp do informatyki. System komputerowy. Magistrala systemowa. Architektura komputera. Cezary Bolek

Wstęp do informatyki. System komputerowy. Magistrala systemowa. Architektura komputera. Cezary Bolek Wstęp do informatyki Architektura komputera Cezary Bolek cbolek@ki.uni.lodz.pl Uniwersytet Łódzki Wydział Zarządzania Katedra Informatyki System komputerowy systemowa (System Bus) Pamięć operacyjna ROM,

Bardziej szczegółowo

dr inż. Jarosław Forenc

dr inż. Jarosław Forenc Informatyka 1 Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr II, studia stacjonarne I stopnia Rok akademicki 2012/2013 Wykład nr 6 (03.04.2013) Rok akademicki 2012/2013, Wykład

Bardziej szczegółowo

dr inż. Jarosław Forenc Dotyczy jednostek operacyjnych i ich połączeń stanowiących realizację specyfikacji typu architektury

dr inż. Jarosław Forenc Dotyczy jednostek operacyjnych i ich połączeń stanowiących realizację specyfikacji typu architektury Rok akademicki 2012/2013, Wykład nr 6 2/43 Plan wykładu nr 6 Informatyka 1 Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr II, studia stacjonarne I stopnia Rok akademicki 2012/2013

Bardziej szczegółowo

Wykład II. Pamięci półprzewodnikowe. Studia stacjonarne inżynierskie, kierunek INFORMATYKA Architektura systemów komputerowych

Wykład II. Pamięci półprzewodnikowe. Studia stacjonarne inżynierskie, kierunek INFORMATYKA Architektura systemów komputerowych Studia stacjonarne inżynierskie, kierunek INFORMATYKA Architektura systemów komputerowych Wykład II Pamięci półprzewodnikowe 1 Pamięci półprzewodnikowe 2 Pamięci półprzewodnikowe Pamięciami półprzewodnikowymi

Bardziej szczegółowo

Wykład II. Pamięci półprzewodnikowe. Studia stacjonarne inżynierskie, kierunek INFORMATYKA Architektura systemów komputerowych

Wykład II. Pamięci półprzewodnikowe. Studia stacjonarne inżynierskie, kierunek INFORMATYKA Architektura systemów komputerowych Studia stacjonarne inżynierskie, kierunek INFORMATYKA Architektura systemów komputerowych Wykład II Pamięci półprzewodnikowe 1 Pamięci półprzewodnikowe 2 Pamięci półprzewodnikowe Pamięciami półprzewodnikowymi

Bardziej szczegółowo

Opracował: Grzegorz Cygan 2012 r. CEZ Stalowa Wola. Pamięci półprzewodnikowe

Opracował: Grzegorz Cygan 2012 r. CEZ Stalowa Wola. Pamięci półprzewodnikowe Opracował: Grzegorz Cygan 2012 r. CEZ Stalowa Wola Pamięci półprzewodnikowe Pamięć Stosowane układy (urządzenia) DANYCH PROGRAMU OPERACYJNA (program + dane) MASOWA KONFIGURACYJNA RAM ROM (EPROM) (EEPROM)

Bardziej szczegółowo

Temat: Pamięci. Programowalne struktury logiczne.

Temat: Pamięci. Programowalne struktury logiczne. Temat: Pamięci. Programowalne struktury logiczne. 1. Pamięci są układami służącymi do przechowywania informacji w postaci ciągu słów bitowych. Wykonuje się jako układy o bardzo dużym stopniu scalenia w

Bardziej szczegółowo

Pamięci półprzewodnikowe

Pamięci półprzewodnikowe Pamięci półprzewodnikowe na podstawie książki: Nowoczesne pamięci półprzewodnikowe, Betty Prince, WNT Ptc 2014/2015 15.1.2015 Półprzewodnikowe pamięci statyczne Pamięci statyczne - SRAM przechowywanie

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Wykład 7 Jan Kazimirski 1 Pamięć podręczna 2 Pamięć komputera - charakterystyka Położenie Procesor rejestry, pamięć podręczna Pamięć wewnętrzna pamięć podręczna, główna Pamięć zewnętrzna

Bardziej szczegółowo

Pamięci półprzewodnikowe na podstawie książki: Nowoczesne pamięci

Pamięci półprzewodnikowe na podstawie książki: Nowoczesne pamięci Pamięci półprzewodnikowe na podstawie książki: Nowoczesne pamięci półprzewodnikowe, Betty Prince, WNT 16.12.2017 Półprzewodnikowe pamięci statyczne Pamięci statyczne - SRAM przechowywanie informacji w

Bardziej szczegółowo

PROJEKTOWANIE SYSTEMÓW KOMPUTEROWYCH

PROJEKTOWANIE SYSTEMÓW KOMPUTEROWYCH PROJEKTOWANIE SYSTEMÓW KOMPUTEROWYCH WYKŁAD NR 4 PAMIĘCI RAM I ROM dr Artur Woike Podstawowe rodzaje pamięci komputerowych Pamięć ROM Pamięć ROM (Read-Only Memory) jest pamięcią typu nieulotnego często

Bardziej szczegółowo

ZASADY PRZECHOWYWANIA DANYCH

ZASADY PRZECHOWYWANIA DANYCH ZASADY PRZECHOWYWANIA DANYCH Wymienić można następujące powody utraty lub szkodliwej modyfikacji danych: przypadkowe ich usunięcie (np. przez roztargnionego pracownika), uszkodzenie nośnika, awaria systemu

Bardziej szczegółowo

Systemy operacyjne i sieci komputerowe Szymon Wilk Superkomputery 1

Systemy operacyjne i sieci komputerowe Szymon Wilk Superkomputery 1 i sieci komputerowe Szymon Wilk Superkomputery 1 1. Superkomputery to komputery o bardzo dużej mocy obliczeniowej. Przeznaczone są do symulacji zjawisk fizycznych prowadzonych głównie w instytucjach badawczych:

Bardziej szczegółowo

Podstawy Informatyki DMA - Układ bezpośredniego dostępu do pamięci

Podstawy Informatyki DMA - Układ bezpośredniego dostępu do pamięci Układ Podstawy Informatyki - Układ bezpośredniego dostępu do pamięci alina.momot@polsl.pl http://zti.polsl.pl/amomot/pi Plan wykładu Układ 1 Układ Wymiana informacji Idea Zasady pracy maszyny W Architektura

Bardziej szczegółowo

Pamięć operacyjna. Moduł pamięci SDR SDRAM o pojemności 256MB

Pamięć operacyjna. Moduł pamięci SDR SDRAM o pojemności 256MB Mikroprocesor do prawidłowego funkcjonowania potrzebuje pamięci operacyjnej, która staje się jego przestrzenią roboczą. Potocznie pamięć operacyjną określa się skrótem RAM (ang. Random Access Memory pamięć

Bardziej szczegółowo

Architektura harwardzka Architektura i organizacja systemu komputerowego Struktura i funkcjonowanie komputera procesor, rozkazy, przerwania

Architektura harwardzka Architektura i organizacja systemu komputerowego Struktura i funkcjonowanie komputera procesor, rozkazy, przerwania Rok akademicki 2010/2011, Wykład nr 7 2/46 Plan wykładu nr 7 Informatyka 1 Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr II, studia stacjonarne I stopnia Rok akademicki 2010/2011

Bardziej szczegółowo

Wykład I. Podstawowe pojęcia Pamięci półprzewodnikowe. Studia stacjonarne inżynierskie, kierunek INFORMATYKA Architektura systemów komputerowych

Wykład I. Podstawowe pojęcia Pamięci półprzewodnikowe. Studia stacjonarne inżynierskie, kierunek INFORMATYKA Architektura systemów komputerowych Studia stacjonarne inżynierskie, kierunek INFORMATYKA Architektura systemów komputerowych Wykład I Podstawowe pojęcia Pamięci półprzewodnikowe 1 Część 1 Podstawowe pojęcia 2 I. Pojęcie komputera Cyfrowe

Bardziej szczegółowo

Bajt (Byte) - najmniejsza adresowalna jednostka informacji pamięci komputerowej, z bitów. Oznaczana jest literą B.

Bajt (Byte) - najmniejsza adresowalna jednostka informacji pamięci komputerowej, z bitów. Oznaczana jest literą B. Jednostki informacji Bajt (Byte) - najmniejsza adresowalna jednostka informacji pamięci komputerowej, składająca się z bitów. Oznaczana jest literą B. 1 kb = 1024 B (kb - kilobajt) 1 MB = 1024 kb (MB -

Bardziej szczegółowo

Magistrala. Magistrala (ang. Bus) służy do przekazywania danych, adresów czy instrukcji sterujących w różne miejsca systemu komputerowego.

Magistrala. Magistrala (ang. Bus) służy do przekazywania danych, adresów czy instrukcji sterujących w różne miejsca systemu komputerowego. Plan wykładu Pojęcie magistrali i jej struktura Architektura pamięciowo-centryczna Architektura szynowa Architektury wieloszynowe Współczesne architektury z połączeniami punkt-punkt Magistrala Magistrala

Bardziej szczegółowo

Podstawowe zadanie komputera to wykonywanie programu Program składa się z rozkazów przechowywanych w pamięci Rozkazy są przetwarzane w dwu krokach:

Podstawowe zadanie komputera to wykonywanie programu Program składa się z rozkazów przechowywanych w pamięci Rozkazy są przetwarzane w dwu krokach: Rok akademicki 2012/2013, Wykład nr 6 2/46 Plan wykładu nr 6 Informatyka 1 Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr II, studia niestacjonarne I stopnia Rok akademicki 2012/2013

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja. do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1.

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja. do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1. Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: SYSTEMY CYFROWE 1 PAMIĘCI SZEREGOWE EEPROM Ćwiczenie 3 Opracował: dr inŝ.

Bardziej szczegółowo

Pamięć wewnętrzna ROM i RAM

Pamięć wewnętrzna ROM i RAM Pamięć wewnętrzna ROM i RAM Pamięć Pamięci półprzewodnikowe są jednym z kluczowych elementów systemów cyfrowych. Służą do przechowywania informacji w postaci cyfrowej. Liczba informacji, które mogą przechowywać

Bardziej szczegółowo

architektura komputerów w. 6 Pamięć I

architektura komputerów w. 6 Pamięć I architektura komputerów w. 6 Pamięć I Pamięć -własności Pojemność rozmiar słowa liczba słów jednostka adresowalna jednostka transferu typ dostępu skojarzeniowy swobodny bezpośredni sekwencyjny wydajność

Bardziej szczegółowo

ARCHITEKTURA PROCESORA,

ARCHITEKTURA PROCESORA, ARCHITEKTURA PROCESORA, poza blokami funkcjonalnymi, to przede wszystkim: a. formaty rozkazów, b. lista rozkazów, c. rejestry dostępne programowo, d. sposoby adresowania pamięci, e. sposoby współpracy

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Tydzień 11 Wejście - wyjście Urządzenia zewnętrzne Wyjściowe monitor drukarka Wejściowe klawiatura, mysz dyski, skanery Komunikacyjne karta sieciowa, modem Urządzenie zewnętrzne

Bardziej szczegółowo

Wydajność systemów a organizacja pamięci. Krzysztof Banaś, Obliczenia wysokiej wydajności. 1

Wydajność systemów a organizacja pamięci. Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Wydajność systemów a organizacja pamięci Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Motywacja - memory wall Krzysztof Banaś, Obliczenia wysokiej wydajności. 2 Organizacja pamięci Organizacja pamięci:

Bardziej szczegółowo

Który z podzespołów komputera przy wyłączonym zasilaniu przechowuje program rozpoczynający ładowanie systemu operacyjnego? A. CPU B. RAM C. ROM D.

Który z podzespołów komputera przy wyłączonym zasilaniu przechowuje program rozpoczynający ładowanie systemu operacyjnego? A. CPU B. RAM C. ROM D. 1 WERSJA X Zadanie 1 Który z podzespołów komputera przy wyłączonym zasilaniu przechowuje program rozpoczynający ładowanie systemu operacyjnego? A. CPU B. RAM C. ROM D. I/O Zadanie 2 Na podstawie nazw sygnałów

Bardziej szczegółowo

LEKCJA TEMAT: Zasada działania komputera.

LEKCJA TEMAT: Zasada działania komputera. LEKCJA TEMAT: Zasada działania komputera. 1. Ogólna budowa komputera Rys. Ogólna budowa komputera. 2. Komputer składa się z czterech głównych składników: procesor (jednostka centralna, CPU) steruje działaniem

Bardziej szczegółowo

Dydaktyka Informatyki budowa i zasady działania komputera

Dydaktyka Informatyki budowa i zasady działania komputera Dydaktyka Informatyki budowa i zasady działania komputera Instytut Matematyki Uniwersytet Gdański System komputerowy System komputerowy układ współdziałania dwóch składowych: szprzętu komputerowego oraz

Bardziej szczegółowo

Pamięci. Pamięci DDR DIMM SDR SDRAM

Pamięci. Pamięci DDR DIMM SDR SDRAM Pamięci DIMM SDR SDRAM Pamięć ta pochodzi z Optimusa 4Mx64 SDRAM. Czas występowania to lata 1997. Charakterystyczne dla niej to dwa wcięcia, z którego jedno jest bardzo blisko brzegu. Pamięci DDR Ta seria

Bardziej szczegółowo

Informatyka 1. Wykład nr 7 ( ) Plan wykładu nr 7. Politechnika Białostocka. - Wydział Elektryczny. Architektura von Neumanna

Informatyka 1. Wykład nr 7 ( ) Plan wykładu nr 7. Politechnika Białostocka. - Wydział Elektryczny. Architektura von Neumanna Rok akademicki 2007/2008, Wykład nr 7 2/45 Plan wykładu nr 7 Informatyka 1 Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr II, studia niestacjonarne I stopnia (zaoczne) Rok akademicki

Bardziej szczegółowo

Przygotował: Ryszard Kijanka

Przygotował: Ryszard Kijanka Przygotował: Ryszard Kijanka - pozwalają uzyskiwać duże pojemności w pojedynczym układzie scalonym. Zasada działania komórki pamięci dynamicznej opiera się na magazynowaniu ładunku na określonej, niewielkiej

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Wykład 9 Jan Kazimirski 1 Pamięć operacyjna 2 Pamięć półprzewodnikowa RAM Złożona z dwustanowych komórek (wartości 0 i 1) Możliwość odczytu i zapisu Ulotna (zawartość znika po odcięciu

Bardziej szczegółowo

Sprawdzian test egzaminacyjny 2 GRUPA I

Sprawdzian test egzaminacyjny 2 GRUPA I ... nazwisko i imię ucznia Sprawdzian test egzaminacyjny 2 GRUPA I 1. Na rys. 1 procesor oznaczony jest numerem A. 2 B. 3 C. 5 D. 8 2. Na rys. 1 karta rozszerzeń oznaczona jest numerem A. 1 B. 4 C. 6 D.

Bardziej szczegółowo

Technologia informacyjna. Urządzenia techniki komputerowej

Technologia informacyjna. Urządzenia techniki komputerowej Technologia informacyjna Urządzenia techniki komputerowej System komputerowy = hardware (sprzęt) + software (oprogramowanie) Sprzęt komputerowy (ang. hardware) zasoby o specyficznej strukturze i organizacji

Bardziej szczegółowo

Pamięci magnetorezystywne MRAM czy nowa technologia podbije rynek pamięci RAM?

Pamięci magnetorezystywne MRAM czy nowa technologia podbije rynek pamięci RAM? 1 Pamięci magnetorezystywne MRAM czy nowa technologia podbije Pamięci magnetorezystywne MRAM czy nowa technologia podbije rynek pamięci RAM? Na rynku pamięci RAM od dawna dominują układy zawierające pamięci

Bardziej szczegółowo

Przegląd konstrukcji i typów pamięci RAM

Przegląd konstrukcji i typów pamięci RAM Przegląd konstrukcji i typów pamięci RAM Pamięć z kontrolą parzystości i bez kontroli parzystości Praktycznie wszystkie komputery oparte na procesorach 386 i starszych, a także większość komputerów 486

Bardziej szczegółowo

Urządzenia zewnętrzne

Urządzenia zewnętrzne Urządzenia zewnętrzne SZYNA ADRESOWA SZYNA DANYCH SZYNA STEROWANIA ZEGAR PROCESOR PAMIĘC UKŁADY WE/WY Centralna jednostka przetw arzająca (CPU) DANE PROGRAMY WYNIKI... URZ. ZEWN. MO NITORY, DRUKARKI, CZYTNIKI,...

Bardziej szczegółowo

Wydajność systemów a organizacja pamięci, czyli dlaczego jednak nie jest aż tak źle. Krzysztof Banaś, Obliczenia wysokiej wydajności.

Wydajność systemów a organizacja pamięci, czyli dlaczego jednak nie jest aż tak źle. Krzysztof Banaś, Obliczenia wysokiej wydajności. Wydajność systemów a organizacja pamięci, czyli dlaczego jednak nie jest aż tak źle Krzysztof Banaś, Obliczenia wysokiej wydajności. 1 Organizacja pamięci Organizacja pamięci współczesnych systemów komputerowych

Bardziej szczegółowo

REFERAT PAMIĘĆ OPERACYJNA

REFERAT PAMIĘĆ OPERACYJNA REFERAT PAMIĘĆ OPERACYJNA Czym jest pamięć RAM? Rodzaje i parametry. Opisanie rodzajów pamięci RAM. Wykonanie: Nowak Sebastian Klasa Ii Spis treści 1. Czym jest pamięć RAM? 2. Podział pamięci RAM: Ze względu

Bardziej szczegółowo

Logiczny model komputera i działanie procesora. Część 1.

Logiczny model komputera i działanie procesora. Część 1. Logiczny model komputera i działanie procesora. Część 1. Klasyczny komputer o architekturze podanej przez von Neumana składa się z trzech podstawowych bloków: procesora pamięci operacyjnej urządzeń wejścia/wyjścia.

Bardziej szczegółowo

System pamięci. Pamięć podręczna

System pamięci. Pamięć podręczna System pamięci Pamięć podręczna Technologia Static RAM (SRAM) Ułamki nanosekund, $500-$1000 za GB (2012r) Dynamic RAM (DRAM) 50ns 70ns, $10 $20 za GB Pamięci Flash 5000-50000 ns, $0.75 - $1 Dyski magnetyczne

Bardziej szczegółowo

Budowa systemów komputerowych

Budowa systemów komputerowych Budowa systemów komputerowych Krzysztof Patan Instytut Sterowania i Systemów Informatycznych Uniwersytet Zielonogórski k.patan@issi.uz.zgora.pl Współczesny system komputerowy System komputerowy składa

Bardziej szczegółowo

Sprawdzian test egzaminacyjny GRUPA I

Sprawdzian test egzaminacyjny GRUPA I ... nazwisko i imię ucznia Sprawdzian test egzaminacyjny GRUPA I 1. Na rys. 1 procesor oznaczony jest numerem A. 2 B. 3 C. 5 D. 8 2. Na rys. 1 karta rozszerzeń oznaczona jest numerem A. 1 B. 4 C. 6 D.

Bardziej szczegółowo

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie:

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie: Zaliczenie Termin zaliczenia: 14.06.2007 Sala IE 415 Termin poprawkowy: >18.06.2007 (informacja na stronie: http://neo.dmcs.p.lodz.pl/tm/index.html) 1 Współpraca procesora z urządzeniami peryferyjnymi

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Tydzień 8 Magistrale systemowe Magistrala Układy składające się na komputer (procesor, pamięć, układy we/wy) muszą się ze sobą komunikować, czyli być połączone. Układy łączymy ze

Bardziej szczegółowo

Adresowanie obiektów. Adresowanie bitów. Adresowanie bajtów i słów. Adresowanie bajtów i słów. Adresowanie timerów i liczników. Adresowanie timerów

Adresowanie obiektów. Adresowanie bitów. Adresowanie bajtów i słów. Adresowanie bajtów i słów. Adresowanie timerów i liczników. Adresowanie timerów Adresowanie obiektów Bit - stan pojedynczego sygnału - wejście lub wyjście dyskretne, bit pamięci Bajt - 8 bitów - wartość od -128 do +127 Słowo - 16 bitów - wartość od -32768 do 32767 -wejście lub wyjście

Bardziej szczegółowo

Wykład 14. Zagadnienia związane z systemem IO

Wykład 14. Zagadnienia związane z systemem IO Wykład 14 Zagadnienia związane z systemem IO Wprowadzenie Urządzenia I/O zróżnicowane ze względu na Zachowanie: wejście, wyjście, magazynowanie Partnera: człowiek lub maszyna Szybkość transferu: bajty

Bardziej szczegółowo

Chipset i magistrala Chipset Mostek północny (ang. Northbridge) Mostek południowy (ang. Southbridge) -

Chipset i magistrala Chipset Mostek północny (ang. Northbridge) Mostek południowy (ang. Southbridge) - Chipset i magistrala Chipset - Układ ten organizuje przepływ informacji pomiędzy poszczególnymi podzespołami jednostki centralnej. Idea chipsetu narodziła się jako potrzeba zintegrowania w jednym układzie

Bardziej szczegółowo

Projekt współfinansowany ze środków Europejskiego Funduszu Społecznego 21

Projekt współfinansowany ze środków Europejskiego Funduszu Społecznego 21 4.3. Pamięci wewnętrzne RAM i ROM 4.3.1. Materiał nauczania Oprócz mikroprocesora istotnym składnikiem jednostki centralnej jest pamięć. Pamięć komputera pozwala przechowywać informacje (dane). Aby komputer

Bardziej szczegółowo

dr inż. Jarosław Forenc

dr inż. Jarosław Forenc Informatyka 2 Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr III, studia stacjonarne I stopnia Rok akademicki 2010/2011 Wykład nr 7 (24.01.2011) dr inż. Jarosław Forenc Rok akademicki

Bardziej szczegółowo

Wprowadzenie do informatyki i użytkowania komputerów. Kodowanie informacji System komputerowy

Wprowadzenie do informatyki i użytkowania komputerów. Kodowanie informacji System komputerowy 1 Wprowadzenie do informatyki i użytkowania komputerów Kodowanie informacji System komputerowy Kodowanie informacji 2 Co to jest? bit, bajt, kod ASCII. Jak działa system komputerowy? Co to jest? pamięć

Bardziej szczegółowo

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11 Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1 Spis treúci Przedmowa... 9 Wstęp... 11 1. Komputer PC od zewnątrz... 13 1.1. Elementy zestawu komputerowego... 13 1.2.

Bardziej szczegółowo

Wyjście do drukarki Centronix

Wyjście do drukarki Centronix Wyjście do drukarki Centronix Model M-0 do Dydaktycznego Systemu Mikroprocesorowego DSM-1 Instrukcja uŝytkowania Copyright 2007 by MicroMade All rights reserved Wszelkie prawa zastrzeŝone MicroMade Gałka

Bardziej szczegółowo

Zarządzanie pamięcią w systemie operacyjnym

Zarządzanie pamięcią w systemie operacyjnym Zarządzanie pamięcią w systemie operacyjnym Cele: przydział zasobów pamięciowych wykonywanym programom, zapewnienie bezpieczeństwa wykonywanych procesów (ochrona pamięci), efektywne wykorzystanie dostępnej

Bardziej szczegółowo

Architektura komputerów. Układy wejścia-wyjścia komputera

Architektura komputerów. Układy wejścia-wyjścia komputera Architektura komputerów Układy wejścia-wyjścia komputera Wspópraca komputera z urządzeniami zewnętrznymi Integracja urządzeń w systemach: sprzętowa - interfejs programowa - protokół sterujący Interfejs

Bardziej szczegółowo

Mikroinformatyka. Koprocesory arytmetyczne 8087, 80187, 80287, i387

Mikroinformatyka. Koprocesory arytmetyczne 8087, 80187, 80287, i387 Mikroinformatyka Koprocesory arytmetyczne 8087, 80187, 80287, i387 Koprocesor arytmetyczny 100 razy szybsze obliczenia numeryczne na liczbach zmiennoprzecinkowych. Obliczenia prowadzone równolegle z procesorem

Bardziej szczegółowo

WPROWADZENIE Mikrosterownik mikrokontrolery

WPROWADZENIE Mikrosterownik mikrokontrolery WPROWADZENIE Mikrosterownik (cyfrowy) jest to moduł elektroniczny zawierający wszystkie środki niezbędne do realizacji wymaganych procedur sterowania przy pomocy metod komputerowych. Platformy budowy mikrosterowników:

Bardziej szczegółowo

Zasada hierarchii pamięci... 2 Podstawy... 3 Podstawowe definicje i klasyfikacja pamięci... 3 Organizacja pamięci... 4 Idea działania pamięci DRAM...

Zasada hierarchii pamięci... 2 Podstawy... 3 Podstawowe definicje i klasyfikacja pamięci... 3 Organizacja pamięci... 4 Idea działania pamięci DRAM... Zasada hierarchii pamięci... 2 Podstawy... 3 Podstawowe definicje i klasyfikacja pamięci... 3 Organizacja pamięci... 4 Idea działania pamięci DRAM... 6 Odświeżanie pamięci DRAM... 7 Idea działania synchronicznych

Bardziej szczegółowo

Komputer IBM PC niezależnie od modelu składa się z: Jednostki centralnej czyli właściwego komputera Monitora Klawiatury

Komputer IBM PC niezależnie od modelu składa się z: Jednostki centralnej czyli właściwego komputera Monitora Klawiatury 1976 r. Apple PC Personal Computer 1981 r. pierwszy IBM PC Komputer jest wart tyle, ile wart jest człowiek, który go wykorzystuje... Hardware sprzęt Software oprogramowanie Komputer IBM PC niezależnie

Bardziej szczegółowo

Podstawy Projektowania Przyrządów Wirtualnych. Wykład 9. Wprowadzenie do standardu magistrali VMEbus. mgr inż. Paweł Kogut

Podstawy Projektowania Przyrządów Wirtualnych. Wykład 9. Wprowadzenie do standardu magistrali VMEbus. mgr inż. Paweł Kogut Podstawy Projektowania Przyrządów Wirtualnych Wykład 9 Wprowadzenie do standardu magistrali VMEbus mgr inż. Paweł Kogut VMEbus VMEbus (Versa Module Eurocard bus) jest to standard magistrali komputerowej

Bardziej szczegółowo

0 + 0 = 0, = 1, = 1, = 0.

0 + 0 = 0, = 1, = 1, = 0. 5 Kody liniowe Jak już wiemy, w celu przesłania zakodowanego tekstu dzielimy go na bloki i do każdego z bloków dodajemy tak zwane bity sprawdzające. Bity te są w ścisłej zależności z bitami informacyjnymi,

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 10 (3h) Implementacja interfejsu SPI w strukturze programowalnej Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Informatyka 1. Wykład nr 6 ( ) Plan wykładu nr 6. Politechnika Białostocka. - Wydział Elektryczny. Architektura von Neumanna

Informatyka 1. Wykład nr 6 ( ) Plan wykładu nr 6. Politechnika Białostocka. - Wydział Elektryczny. Architektura von Neumanna Rok akademicki 2008/2009, Wykład nr 6 2/51 Plan wykładu nr 6 Informatyka 1 Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr II, studia niestacjonarne I stopnia Rok akademicki 2008/2009

Bardziej szczegółowo

Współpraca procesora ColdFire z pamięcią

Współpraca procesora ColdFire z pamięcią Współpraca procesora ColdFire z pamięcią 1 Współpraca procesora z pamięcią zewnętrzną (1) ROM Magistrala adresowa Pamięć programu Magistrala danych Sygnały sterujące CS, OE Mikroprocesor FLASH, SRAM, DRAM

Bardziej szczegółowo

System mikroprocesorowy i peryferia. Dariusz Chaberski

System mikroprocesorowy i peryferia. Dariusz Chaberski System mikroprocesorowy i peryferia Dariusz Chaberski System mikroprocesorowy mikroprocesor pamięć kontroler przerwań układy wejścia wyjścia kontroler DMA 2 Pamięć rodzaje (podział ze względu na sposób

Bardziej szczegółowo

Pamięci masowe. ATA (Advanced Technology Attachments)

Pamięci masowe. ATA (Advanced Technology Attachments) Pamięci masowe ATA (Advanced Technology Attachments) interfejs systemowy w komputerach klasy PC i Amiga przeznaczony do komunikacji z dyskami twardymi zaproponowany w 1983 przez firmę Compaq. Używa się

Bardziej szczegółowo

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia. Kilka informacji o przerzutnikach Jaki układ elektroniczny nazywa się przerzutnikiem? Przerzutnikiem bistabilnym jest nazywany układ elektroniczny, charakteryzujący się istnieniem dwóch stanów wyróżnionych

Bardziej szczegółowo

Organizacja pamięci i kontrolery DRAM

Organizacja pamięci i kontrolery DRAM Organizacja pamięci i kontrolery DRAM Jan Mazur Instytut Informatyki Uniwersytetu Wrocławskiego Seminarium: Architektury Systemów Komputerowych Trochę o pamięciach DRAM (dynamic random access memory) -

Bardziej szczegółowo

PRZETWORNIKI C / A PODSTAWOWE PARAMETRY

PRZETWORNIKI C / A PODSTAWOWE PARAMETRY PRZETWORIKI C / A PODSTAWOWE PARAMETRY Rozdzielczość przetwornika C/A - Określa ją liczba - bitów słowa wejściowego. - Definiuje się ją równieŝ przez wartość związaną z najmniej znaczącym bitem (LSB),

Bardziej szczegółowo

Język FBD w systemie Concept

Język FBD w systemie Concept Adresowanie obiektów Bit - stan pojedynczego sygnału - wejście lub wyjście dyskretne, bit pamięci Bajt - 8 bitów - wartość od -128 do +127 Słowo - 16 bitów - wartość od -32768 do 32767 -wejście lub wyjście

Bardziej szczegółowo

Systemy bezpieczne i FTC (Niezawodne Systemy Cyfrowe)

Systemy bezpieczne i FTC (Niezawodne Systemy Cyfrowe) Systemy bezpieczne i FTC (Niezawodne Systemy Cyfrowe) dr inż Krzysztof Berezowski 220/C3 tel +48 71 320 27-59 krzysztofberezowski@pwrwrocpl 1 Wybrane kody dr inż Krzysztof Berezowski 220/C3 tel +48 71

Bardziej szczegółowo