Technika Cyfrowa i Mikroprocesory

Wielkość: px
Rozpocząć pokaz od strony:

Download "Technika Cyfrowa i Mikroprocesory"

Transkrypt

1 Technika Cyfrowa i Mikroprocesory Programowalne uklady logiczne FPGA Spartan-II dr inz. Krzysztof Kolek Materialy wylacznie dla potrzeb wykladu Uklady cyfrowe oraz mikroprocesory III rok RA wydzial EAIiE AGH. Inne wykorzystanie bez zgody autora zabronione. 1

2 Plan Uklady PLD Zasada pracy ukladów FPGA Ogólna charakterystyka rodziny Spartan-II Bloki IOB Bloki CLB o Pamiec dwuportowa o Bloki DLL Polaczenia miedzyblokowe JTAG Obudowy Przykladowe rozwiazanie Podsumowanie Literatura 2

3 Uklady PLD Uklady PLD (Programmable Logic Devices) producent nie okresla cyfrowych funkcji ukladu; jest to zadaniem koncowego uzytkownika (sprzetowy odpowiednik funkcji mikroprocesora) PLD mozna podzielic na: SPLD (Simple Programmable Logic Devices) CPLD (Complex Programmable Logic Devices) FPGA (Field Programmable Gate Array) Dostosowanie ukladu do potrzeb wymaga utworzenia w ukladzie odpowiednich polaczen. Moga to byc sciezki do przepalania (zwarcie usuwane podczas programowania), warstwy izolatorów przebijane podczas programowania lub marryce tranzystorów MOS zapewniajacych odpowiednie polaczenia Programowanie (konfiguracja) moze miec charakter pamieci ulotnej lub nieulotnej SPLD GAL firmy Lattice matryca wejsciowa utworzona z zespolu bramek AND; wejsciowe sygnaly proste i zanegowane sa mnozone na bramkach AND i sumowane na bramkach OR; przerzutniki wyjsciowe realizuja funkcje pamieciowe (sekwencyjne); odpowiednie uklady zwiazane z wyprowadzeniami ukladu GAL zapewniaja doprowadzenie oraz wyprowadzenie sygnalów; czestotliwosci pracy do 250MHz; wyprowadzenia DIL 20/24

4 Uklady SPLD na przykladzie GAL (Lattice)

5 Uklady SPLD na przykladzie GAL (Lattice)

6 Uklady CPLD na przykladzie rodziny 9500 (XILINX) Podobna struktura do SPLD, ale komórki logiczne dodatkowo pogrupowane w makrokomórki z mozliwoscia wzajemnych polaczen miedzy nimi; bramek; czestotliwosc pracy do 125MHz

7 Zasada pracy FPGA Zasoby logiki kombinacyjnej, sekwencyjnej ( bramki oraz przerzutniki) oraz wejsc/wyjsc z ukladu o niezdefiniowanych polaczeniach wzajemnych; Definicja polaczen okresla funkcje logiczne oraz kierunki wejsc/wyjsc z ukladu; zdecydowana wiekszosc wejsc/wyjsc nie ma a priori okreslonego kierunku oraz funkcji (wyjatkiem np. GND i VCC) Definicja polaczen moze byc wykonywana dowolna ilosc razy (zapisywana w pamieci RAM) ustalanie konfiguracji Konfigurowanie wykonywane za pomoca specjalnych urzadzen lub automatyczne, sterowane przez uklad FPGA, ladowanie konfiguracji z zewnetrznej pamieci ROM po wlaczeniu zasilania Programowanie (ustalanie polaczen) wykonywane programowo; odpowiednikiem lutowanie elementów dyskretnych

8 SPARTAN-II Rodzina ukladów FPGA firmy XILINX ( Alternatywa dla ukladów ASIC (Application Specific Integrated Circuit) podczas produkcji ukladów do liczby tysiecy sztuk Pojemnosc do bramek; czestotliwosc do 200MHz Uklady zbudowane z nastepujacych elementów skladowych: bloki wejscia/wyjscia IOB (Input/Output Block) bloki wykorzystywane do tworzenia logiki CLB (Combinationa Logic Block) dedykowane bloki pamieci RAM bloki DLL wspólpracujace z sygnalami zegarowymi wielowarstwowa struktura polaczen laczaca ww. elementy

9 Parametry rodziny Spartan-II

10 Oznaczenia

11 Schemat blokowy ukladów Spartan-II

12 Bloki IOB 3 rejestry skonfigurowane sa jako wyzwalane zboczem flip-flop y typu D lub latch e aktywne poziomem Dla kazdego rejestru wystepuja sygnaly zerowania i ustawiania, które moga pracowac synchronicznie lub asynchronicznie Opcjonalnie dolaczane sa do wyjscia rezystory typu pull-up lub pull-down Opcjonalny obwód typu week-keeper monitoruje napiecie na wyprowadzeniu i podsterowuje wyprowadzenie do stany L lub H ; w przypadku przypiecia do sygnalu wielozródlowego utrzymuje sygnal w ostatnim aktywnym stanie gdy wszystkie sterowniki wyjsciowe sa odciete; eliminuje w ten sposób cheterrowanie sugnalu Wszystkie koncówki ukladu zabezpieczone sa przeciwprzepieciowo Zasilanie 3.3V, ale wyprowadzenia sa 5V tolerant Opcjonalne opóznienie sygnalu wejsciowego umozliwia uzyskanie zerowych czasów przetrzymania (hold time) Maksymalny wyplyw pracy z kazdego wyjscia wynosi 24mA, maksymalny wplyw wynosi 48mA

13 Spartan-II IOB

14 Banki I/O Rózne standardy I/O wymagaja róznych napiec Vcco, a czasami równiez Vref Wyprowadzenia ukladu podzielone na banki; wewnatrz banku standardy napieciowe mozna mieszac jezeli wymagaja tego samego Vcco; mozna mieszac standardy wymagajace i nie wymagajace Vref ale tylko jedno Vref moze byc uzyte dla kazdego banku

15 Wszechstronne (Versatile) I/O Programowe sterowanie wydajnoscia pradowa, czasem narastania sygnalu oraz opóznieniem sygnalu wejsciowego 16 napieciowych standardów I/O odpowiednie bufory wejsciowe: IBUF, IBUF_LVCMOS2, IBUF_PCI33_3, IBUF_PIC33_5, IBUF_PCI66_3, IBUF_GTL, IBUF_GTLP, IBUF_HSTL_I, IBUF_HSTL_III, IBUF_HSTL_IV, IBUF_SSTL_3_I, IBUF_SSTL_3_II, IBUF_SSTL_2_I, IBUF_SSTL_2_II, IBUF_CTT oraz IBUF_AGP Tolerancja dla napiec 5V w przypadku napiec zasilania wiekszych od 2V Odpowiednie bufory dla sygnalów zegarowych w róznych standardach Odpowiednie bufory wyjsciowe oraz trójstanowe bufory wyjsciowe; dla standardu LVTTL mozliwosc okreslenia czasu narastania sygnalów oraz pradu wyjsciowego (2mA, 4mA, 6mA, 8mA, 16mA lub 24mA)

16 Standardy napieciowe

17 Standardy napieciowe

18 Standardy napieciowe - przyklady

19 Standardy napieciowe - przyklady

20 Bloki CLB Komórka logiczna LC (Logic Cell) zawiera 4-wejsciowy generator funkcyjny, logike sterujaca i realizujaca przeniesienia oraz przerzutnik; wyjscie z generatora funkcyjnego steruje wyjscie LC oraz wejscie D przerzutnika; 2 komórki LC tworza jeden blok Slice, 2 bloki Slice tworza jedna komórke CLB Generator funkcyjny to 4-wejsciowa tablica typu LUT (Look-Up Table); mozna go wykorzystac jako pamiec RAM 16x1; w obrebie jednego bloku typu Slice mozna zorganizowac pamiec 31x1, 16x1 lub dwuportowa pamiec 16x1; LUT mozna równiez wykorzystac jako 16-bitowy szybki rejestr przesuwny Przerzutnik moze zostac skonfigurowany jako aktywny zboczem lub poziomem przerzutnik D; sygnaly ustawiania i zerowania przerzutnika moga pracowac synchronicznie lub asynchronicznie; sygnaly sterujace przerzutnikiem mozna zanegowac podczas konfiguracji Dodatkowa logika: multiplekser laczacy 2 bloki LUT w jeden 5-wejsciowy generator funkcji lub generator wybranych funkcji do 9-wejsc; multiplekser laczacy 4 bloki LUT tworzac dowolna funkcje 6 zmiennych lub wybrane funkcje 18 wejsc Kazdy LC posiada jedna sciezke bezposrednio laczaca wejscie i wyjscie (direct feedthrougth path) Bloki generacji przeniesien posiadaja dedykowane dla sumatorów i multiplikatorów bramki XOR oraz AND; sa przystosowane do kaskadowej generacji przeniesien Kazdy blok CLB posiada dwa bufory 3-stanowe

21 Slice Slice sklada sie z dwóch bloków LC (Logic Cell), a sam stanowi ½ bloku CLB

22 Pamiec dwuportowa Dedykowane bloki synchroniczne pamieci dwuportowej RAM o pojemnosci 4096x1 kazdy; niezalezne sterowanie dla kazdego portu pamieci; wbudowane mechanizmy konwersji magistrali danych (4096x1 lub 2048x2 lub 1024x4 lub 512x8 lub 256x16) Dedykowane linie polaczeniowe zapewniajace polaczenia z CLB oraz z innymi blokami pamieci Porty pamieci wzajemnie niezalezne bez potrzeby arbitrazu, ale KIEDY JEDEN Z PORTÓW ZAPISUJE DO DANEJ KOMÓRKI PAMIECI WÓWCZAS DRUGI PORT NIE MOZE ADRESOWAC TEJ SAMEJ KOMÓRKI (zarówno od odczytu jak i do zapisu) Z DOKLADNOSCIA DO CZASU USTALANIA SYGNALU ZEGAROWEGO (3-4ns); w przypadku zapisu przez 2 porty wynik jest nieprzewidywalny; w przypadku zapisu i odczytu zapis sie powiedzie a odczyt nie Bloki pamieci dwuportowej RAM mozna inicjalizowac podczas konfiguracji

23 Pamiec dwuportowa

24 Pamiec dwuportowa

25 Pamiec dwuportowa

26 Delay-Locked Loop (DLL) 4 bloki DLL zwiazane z globalnymi sygnalami zegarowymi Blok DLL monitoruje zewnetrzny sygnal zegarowy i sygnal zegarowy dystrybuowany wewnatrz ukladu; tak dobiera opóznienie aby zbocza zegara osiagnely przerzutniki dokladnie jeden okres zegara po tym jak pojawia sie na wejsciu ukladu DLL moze przemnozyc czestotliwosc sygnalu wejsciowego przez 2 lub podzielic przez 1.5, 2, 2.5, 3, 4, 5, 8 lub 16 Mnozenie czestotliwosci umozliwia wspóldzielenie logiki w dziedzinie czasu wykorzystujace te same bloki dwukrotnie w jednym okresie sygnalu zegarowego Bloki DLL moga opóznic uruchomienie logiki po skonfigurowaniu do czasu chwycenia petli Na wyjsciu dostepny sygnal wyjsciowy oraz sygnaly wyjsciowe przesuniete w fazie o 90, 180 i 270 stopni; opcjonalnie sygnaly wyjsciowe moga miec skorygowane wypelnienie na 50% Wyprowadzajac wyjscie z DLL na zewnatrz ukladu, a nastepnie z powrotem do srodka mozna równomiernie rozprowadzic zegar dla wielu ukladów w obrebie calej plytki

27 Delay-Locked Loop (DLL)

28 Linie polaczeniowe Dlugosci i typy linii polaczeniowych znaczaco limituja maksymalna predkosc pracy Liczby i typy linii polaczeniowych okreslaja mozliwosci polaczeniowe miedzy elementami Lokalne linie polaczeniowe: lacza zasoby CLB z macierzami polaczeniowymi GRM (General Routing Matrix); GRM graniczy z kazdym CLB wszystkie bloki LUT wewnatrz tej samej komórki CLB bezposrednie polaczenie miedzy poziomo polozonymi komórkami CLB

29 Linie polaczeniowe Linie polaczeniowe ogólnego przeznaczenia (pionowe i poziome kanaly polaczeniowe miedzy wierszami i kolumnami CLB): GRM macierz przelaczników laczaca linie poziome i pionowe tworzaca linie polaczeniowe dla CLB 24 linie polaczeniowe pojedynczej dlugosci (jeden wiersz lub kolumna tablicy CLB) laczace sasiednie bloki GRM w kazdym z 4 kierunków 96 linii laczacych bloki GRM odlegle od siebie o 6 wierszy lub kolumn (Hex); biegna w kazdym z 4 kierunków; sterowane wylacznie na koncach ale z mozliwoscia dostepu do sygnalu równiez w srodku linii; 32 z tych linii sa dwukierunkowe, reszta jednokierunkowa 12 linii dlugich (Longlines); poziome i pionowe polaczenia dla szybkich sygnalów biegnace przez caly uklad wzdluz i wszerz Dystrybucja zegara: dedykowane linie i wejscia ukladu (4) do dystrybucji szybkich sygnalów zegarowych zapewniajace minimalna nierównomiernosc czasów pojawienia sie zboczy zegara; dostep do linii zegarowych poprzez globalne bufory z wyprowadzen ukladu lub z linii polaczeniowych ogólnego przeznaczenia

30 Linie polaczeniowe Polaczenia I/O linie polaczeniowe miedzy macierza CLB a blokami IOB Dodatkowe 2 linie na kazdy CLB do propagacji sygnalów przeniesien 4 poziome polaczenia 3-stanowe; linie moga byc dzielone umozliwiajac uzyskanie krótszych magistral

31 Lacze krawedziowe - JTAG Spartan-II wspiera wszystkie obligatoryjne komendy standardu IEEE 1149 Boundary Scan Logic umozliwia zeskanowanie wszystkich we/wy ukladu; wszystkie IOB sa traktowane jako 3-stanowe wyprowadzenia i tak sa skanowane kazdy blok to 3 testowane punkty: wejscie, wyjscie oraz sterowanie buforem trójstanowym; równiez wyprowadzenia ukladu niewykorzystywane w logice sa skanowane Testowanie pracy logiki moze odbywac sie poprzez dolaczenie testowanych sygnalów do niewykorzystanych we/wy ukladu Instrukcje opisane w standardzie IEEE 1149 dostepne sa równiez przed konfiguracja ukladu; po konfiguracji dostepna jest reszta instrukcji Instrukcja USERCODE umozliwia projektantowi logiki odczytanie i zwrócenie dowolnych danych; daje to mozliwosc komunikacji z ukladem poprzez JTAG Dodatkowe (nieobecne w tabeli) instrukcje umozliwiaja konfigurowanie ukladu JTAG w ukladach Spartan-II pracuje zawsze w standardzie LVTTL Readback mozliwosc odczytania danych konfiguracyjnych z ukladu wraz ze stanem wszystkich przerzutników, pamieci RAM LUT oraz stanu bloków RAM; wykorzystywane do sledzenia w trakcie pracy ukladu

32 Lacze krawedziowe - JTAG

33 Lacze krawedziowe - JTAG

34 Konfiguracja Konfiguracja polega na zapisie tzw. bitstream do pamieci konfiguracyjnej ukladu FPGA (ok bitów) Tryby konfiguracyjna: slave serial, master serial, slave parallel oraz boundaryscan Odczyt konfiguracji po wlaczeniu zasilania z pamieci PROM lub wykorzystanie dedykowanych urzadzen konfigurujacych (Xchecker, Parallel Cable MultiLinx) lub wykorzystanie protokolu JTAG i dedykowanych instrukcji do programowania pamieci konfiguracyjnej. Podczas konfiguracji mozna opcjonalnie wykonac pull-up wszystkich IOB Szeregowa konfiguracja wymaga tylko 9 dedykowanych wyprowadzen

35 Obudowy (PQ44-PQ240, HQ44-HQ240)

36 Obudowy (PQ44-PQ240, HQ44-HQ240)

37 Obudowy (BG352)

38 Obudowy (BG352)

39 !!! E L A S T Y C Z N O S C!!! Magistrala PCI Uklad PCI Chipset Spartan-II Cyfrowe we/wy Przetwornik A/C Przetwornik C/A RT-DAC4/PCI Specjalizowany uklad zapewnia wspólprace z magistrala PCI Uklad FPGA realizuje funkcje karty: we/wy cyfrowe binarne, PWM enkodery kwadraturowe, generatory cyfrowe, mierniki impulsów wejsciowych, wspólpraca z przetwornikami A/C i C/A... ale równiez sterownik silników krokowych, akwizycja danych analogowych, generatory analogowe, sterownik silnika spalinowego, itd. MOZLIWOSC ZMANY FUNKCJI KARTY BEZ ZMIAN POLACZEN

40 RT-DAC4/PCI

41 Podsumowanie Pojemnosc umozliwiajaca zaprojektowanie ukladu logicznego z zlozonosci mikroprocesorów Elastycznosc funkcjonalna zmiana funkcji nie wymaga zmian w sprzecie Rózne standardy napieciowe wejsc/wyjsc Oprogramowanie umozliwia ustalanie konfiguracji poprzez rysowanie schematów, pisanie programów w jezykach HDL lub poprzez projektowanie automatów FSM Dostepnosc róznorodnych bloków funkcjonalnych (ang. core) Akceptowalne ceny PROPOZYCJE PROJEKTÓW STUDENCKICH: analizator stanów logicznych, implementacja obliczen zmiennopozycyjnych, bloki regulatorów, realizacja sieci neuronowych itp.

42 Literatura Spartan-II 2.5V FPGA Family: Introduction and Ordering Information, materialy w formie PDF dostepne na serwerze Spartan-II 2.5V FPGA Family: Functional description, materialy w formie PDF dostepne na serwerze Spartan-II 2.5V FPGA Family: DC and Switching Characteristics, materialy w formie PDF dostepne na serwerze Spartan-II 2.5V FPGA Family: Pinout Table, materialy w formie PDF dostepne na serwerze Libraries Guide, materialy w formie PDF dostepne na serwerze GAL18V10 High Performance E2CMOS PLD Generic Array Logic, materialy w formie PDF dostepne na serwerze GAL22LV10 Low Voltage E2CMOS PLD Generic Array Logic, materialy w formie PDF dostepne na serwerze Luba T., Jasinski K., Zbierzchowski B.: Specjalizowane uklady cyfrowe w strukturach PLD i FPGA, WKL, Warszawa, Zbysinski P., Pasierbinski J.: Uklady programowalne pierwsze kroki, Wydawnictwo BTC, Warszawa, Skahill K: Jezyk VHDL. Projektowanie programowalnych ukladów logicznych, WNT, Warszawa, Wrona W: VHDL. Jezyk opisu i projektowania ukladów cyfrowych, WPK, Gliwice, 1998.

Technika Cyfrowa i Mikroprocesory

Technika Cyfrowa i Mikroprocesory Technika Cyfrowa i Mikroprocesory Uruchamianie oraz testowanie ukladów dr inz. Krzysztof Kolek Materialy wylacznie dla potrzeb wykladu Uklady cyfrowe oraz mikroprocesory III rok RA wydzial EAIiE AGH. Inne

Bardziej szczegółowo

PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE

PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE Paweł Bogumił BRYŁA IV rok Koło Naukowe Techniki Cyfrowej Dr inŝ. Wojciech Mysiński opiekun naukowy PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE Keywords: PAL, PLA, PLD, CPLD, FPGA, programmable device, electronic

Bardziej szczegółowo

Programowalne scalone układy cyfrowe PLD, CPLD oraz FPGA

Programowalne scalone układy cyfrowe PLD, CPLD oraz FPGA Programowalne scalone układy cyfrowe PLD, CPLD oraz FPGA Ogromną rolę w technice cyfrowej spełniają układy programowalne, często określane nazwą programowalnych modułów logicznych lub krótko hasłem FPLD

Bardziej szczegółowo

Systemy wbudowane. Układy programowalne

Systemy wbudowane. Układy programowalne Systemy wbudowane Układy programowalne Układy ASIC Application Specific Integrated Circuits Podstawowy rozdział cyfrowych układów scalonych: Wielkie standardy: standardowe, uniwersalne elementy o strukturze

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203 W dr inż. Daniel Kopiec. Pamięć w układach programowalnych

Programowanie Układów Logicznych kod kursu: ETD6203 W dr inż. Daniel Kopiec. Pamięć w układach programowalnych Programowanie Układów Logicznych kod kursu: ETD623 Pamięć w układach programowalnych W6 6.4.26 dr inż. Daniel Kopiec Plan wykładu Pamięć w układach programowalnych Zasada działania, podział pamięci Miara

Bardziej szczegółowo

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek Programowalne Układy Logiczne Wykład I dr inż. Paweł Russek Literatura www.actel.com www.altera.com www.xilinx.com www.latticesemi.com Field Programmable Gate Arrays J.V. Oldfield, R.C. Dorf Field Programable

Bardziej szczegółowo

FPGA, CPLD, SPLD. Synteza systemów reprogramowalnych 1/27. dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 107, tel. 449 55 44

FPGA, CPLD, SPLD. Synteza systemów reprogramowalnych 1/27. dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 107, tel. 449 55 44 Synteza systemów reprogramowalnych /27 dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 07, tel. 449 55 44 FPGA, CPLD, SPLD 945 950 955 960 965 970 975 980 985 990 995 2000 0 D CLK update v cur Q Q 0

Bardziej szczegółowo

Elektronika i techniki mikroprocesorowe

Elektronika i techniki mikroprocesorowe Elektronika i techniki mikroprocesorowe Technika cyfrowa ZłoŜone one układy cyfrowe Katedra Energoelektroniki, Napędu Elektrycznego i Robotyki Wydział Elektryczny, ul. Krzywoustego 2 PLAN WYKŁADU idea

Bardziej szczegółowo

4. Wpisz do tabeli odpowiednie oznaczenia ukladów: PAL, PLA, PLE

4. Wpisz do tabeli odpowiednie oznaczenia ukladów: PAL, PLA, PLE 1. Uzupelnij zapis ukladów CPLD rodziny XC9500XL: a. makrokomórka ma standardowa liczbe iloczynów - b. blok funkcyjny ma calkowita liczbe przerzutników - c. kazda makrokomórka ma liczbe przerzutników -

Bardziej szczegółowo

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS inż. Michał HALEŃSKI Wojskowy Instytut Techniczny Uzbrojenia ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH Streszczenie: W artykule przedstawiono budowę oraz zasadę działania układów FPGA oraz

Bardziej szczegółowo

Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych

Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych Politechnika Śląska w Gliwicach Wydział Automatyki Elektroniki i Informatyki Wykorzystanie standardu JTAG do programowania i debugowania układów logicznych Promotor dr inż. Jacek Loska Wojciech Klimeczko

Bardziej szczegółowo

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych (Na przykładzie projektowania układów sterujacych) Grzegorz Łabiak i Marek Węgrzyn Instytut Informatyki

Bardziej szczegółowo

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Sprawy formalne konsultacje,

Bardziej szczegółowo

Kierunek Inżynieria Akustyczna, V rok Programowalne Układy Cyfrowe. Platforma sprzętowa. Rajda & Kasperek 2014 Katedra Elektroniki AGH 1

Kierunek Inżynieria Akustyczna, V rok Programowalne Układy Cyfrowe. Platforma sprzętowa. Rajda & Kasperek 2014 Katedra Elektroniki AGH 1 Kierunek Inżynieria Akustyczna, V rok Programowalne Układy Cyfrowe Platforma sprzętowa Rajda & Kasperek 2014 Katedra Elektroniki AGH 1 Program wykładu Architektura układów FPGA Rodzina Xilinx Spartan-6

Bardziej szczegółowo

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara

Elektronika cyfrowa i mikroprocesory. Dr inż. Aleksander Cianciara Elektronika cyfrowa i mikroprocesory Dr inż. Aleksander Cianciara Sprawy organizacyjne Warunki zaliczenia Lista obecności Kolokwium końcowe Ocena końcowa Konsultacje Poniedziałek 6:-7: Kontakt Budynek

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita

Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur. Piotr Fita Podstawy elektroniki cyfrowej dla Inżynierii Nanostruktur Piotr Fita Elektronika cyfrowa i analogowa Układy analogowe - przetwarzanie sygnałów, których wartości zmieniają się w sposób ciągły w pewnym zakresie

Bardziej szczegółowo

Technika Cyfrowa 2. Wykład 1: Programowalne układy logiczne

Technika Cyfrowa 2. Wykład 1: Programowalne układy logiczne Technika Cyfrowa Wykład : Programowalne układy logiczne dr inż Jarosław Sugier JaroslawSugier@pwrwrocpl II pok C- J Sugier TC - Treść wykładu w tym semestrze: I Programowalne układy logiczne II Architektura

Bardziej szczegółowo

Projektowanie układów FPGA. Żródło*6+.

Projektowanie układów FPGA. Żródło*6+. Projektowanie układów FPGA Żródło*6+. Programowalne układy logiczne W elektronice cyfrowej funkcjonują dwa trendy rozwoju: Specjalizowane układy scalone ASIC (ang. Application Specific Integrated Circuits)

Bardziej szczegółowo

Układy FPGA w przykładach, część 2

Układy FPGA w przykładach, część 2 Układy FPGA w przykładach, część 2 W drugiej części artykułu zajmiemy się omówieniem wyposażenia (po mikrokontrolerowemu : peryferiów) układów FPGA z rodziny Spartan 3, co ułatwi ich wykorzystywanie w

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Schemat ogólny X Y Układ kombinacyjny S Z Pamięć Zegar Działanie układu Zmiany wartości wektora S możliwe tylko w dyskretnych chwilach czasowych

Bardziej szczegółowo

ZL10PLD. Moduł dippld z układem XC3S200

ZL10PLD. Moduł dippld z układem XC3S200 ZL10PLD Moduł dippld z układem XC3S200 Moduły dippld opracowano z myślą o ułatwieniu powszechnego stosowania układów FPGA z rodziny Spartan 3 przez konstruktorów, którzy nie mogą lub nie chcą inwestować

Bardziej szczegółowo

napięcie-częstotliwość

napięcie-częstotliwość Przetwornik napięcie-częstotliwość Czytnik TLD Fizyka Medyczna, studia II stopnia, Dozymetria i elektronika w medycynie 1 Czytnik TLD RA 94 2 Czytnik TLD RA 94 FOT PIF ZWN PLT PTW Fotopowielacz Przetwornik

Bardziej szczegółowo

Układy programowalne. Wykład z ptc część 5

Układy programowalne. Wykład z ptc część 5 Układy programowalne Wykład z ptc część 5 Pamięci ROM Pamięci stałe typu ROM (Read only memory) umożliwiają jedynie odczytanie informacji zawartej w strukturze pamięci. Działanie: Y= X j *cs gdzie j=linia(a).

Bardziej szczegółowo

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne

Spis treœci. Co to jest mikrokontroler? Kody i liczby stosowane w systemach komputerowych. Podstawowe elementy logiczne Spis treści 5 Spis treœci Co to jest mikrokontroler? Wprowadzenie... 11 Budowa systemu komputerowego... 12 Wejścia systemu komputerowego... 12 Wyjścia systemu komputerowego... 13 Jednostka centralna (CPU)...

Bardziej szczegółowo

Układy cyfrowe i operacje logiczne

Układy cyfrowe i operacje logiczne Temat: Układy cyfrowe i operacje logiczne Rozdziały wykładu: 1. Ogólna definicja układu cyfrowego 2. Funkcje logiczne i kombinacyjne układy cyfrowe 3. Proste kombinacyjne układy cyfrowe 4. Programowalne

Bardziej szczegółowo

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu. DATA: Ćwiczenie nr 4 PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.pl 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie

Bardziej szczegółowo

Systemy na Chipie. Robert Czerwiński

Systemy na Chipie. Robert Czerwiński Systemy na Chipie Robert Czerwiński Cel kursu Celem kursu jest zapoznanie słuchaczy ze współczesnymi metodami projektowania cyfrowych układów specjalizowanych, ze szczególnym uwzględnieniem układów logiki

Bardziej szczegółowo

Elektrotechnika II Stopień (I stopień / II stopień) Ogólno akademicki (ogólno akademicki / praktyczny)

Elektrotechnika II Stopień (I stopień / II stopień) Ogólno akademicki (ogólno akademicki / praktyczny) Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Układy programowalne. Wykład z ptc część 5

Układy programowalne. Wykład z ptc część 5 Układy programowalne Wykład z ptc część 5 Pamięci ROM Pamięci stałe typu ROM (Read only memory) umożliwiają jedynie odczytanie informacji zawartej w strukturze pamięci. Działanie: Y= X j *cs gdzie j=linia_pamięci(a).

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład 5 Legenda Procedura projektowania Podział układów VLSI 2 1 Procedura projektowania Specyfikacja Napisz, jeśli jeszcze nie istnieje, specyfikację układu. Opracowanie

Bardziej szczegółowo

Sterowniki Programowalne (SP)

Sterowniki Programowalne (SP) Sterowniki Programowalne (SP) Wybrane aspekty procesu tworzenia oprogramowania dla sterownika PLC Podstawy języka funkcjonalnych schematów blokowych (FBD) Politechnika Gdańska Wydział Elektrotechniki i

Bardziej szczegółowo

Technika Mikroprocesorowa

Technika Mikroprocesorowa Technika Mikroprocesorowa Dariusz Makowski Katedra Mikroelektroniki i Technik Informatycznych tel. 631 2648 dmakow@dmcs.pl http://neo.dmcs.p.lodz.pl/tm 1 System mikroprocesorowy? (1) Magistrala adresowa

Bardziej szczegółowo

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki.

Literatura. adów w cyfrowych. Projektowanie układ. Technika cyfrowa. Technika cyfrowa. Bramki logiczne i przerzutniki. Literatura 1. D. Gajski, Principles of Digital Design, Prentice- Hall, 1997 2. C. Zieliński, Podstawy projektowania układów cyfrowych, PWN, Warszawa 2003 3. G. de Micheli, Synteza i optymalizacja układów

Bardziej szczegółowo

Kierunek Elektronika, III rok Języki Opisu Sprzętu. Platforma sprzętowa. Rajda & Kasperek 2016 Katedra Elektroniki AGH 1

Kierunek Elektronika, III rok Języki Opisu Sprzętu. Platforma sprzętowa. Rajda & Kasperek 2016 Katedra Elektroniki AGH 1 Kierunek Elektronika, III rok Języki Opisu Sprzętu Platforma sprzętowa Rajda & Kasperek 2016 Katedra Elektroniki AGH 1 Program wykładu Architektura układów FPGA Rodzina Xilinx Spartan-6 Platforma Digilent

Bardziej szczegółowo

Systemy Wbudowane. Arduino - rozszerzanie. Podłączanie wyświetlacza LCD. Podłączanie wyświetlacza LCD. Podłączanie wyświetlacza LCD

Systemy Wbudowane. Arduino - rozszerzanie. Podłączanie wyświetlacza LCD. Podłączanie wyświetlacza LCD. Podłączanie wyświetlacza LCD Wymagania: V, GND Zasilanie LED podswietlenia (opcjonalne) Regulacja kontrastu (potencjometr) Enable Register Select R/W (LOW) bity szyny danych Systemy Wbudowane Arduino - rozszerzanie mgr inż. Marek

Bardziej szczegółowo

OPIS STEROWNIKA 821B USB

OPIS STEROWNIKA 821B USB OPIS STEROWNIKA 821B USB Sterownik sklada sie z nastepujacych bloków: procesora sterujacego, przetwornika Analogowo/Cyfrowego 12 bitów 8 kanalów przetwornika Cyfrowo/Analogowego 12 bitów 1 kanal driverów

Bardziej szczegółowo

NX700 PLC www.atcontrol.pl

NX700 PLC www.atcontrol.pl NX700 PLC NX700 Podstawowe cechy Rozszerzalność, niezawodność i łatwość w integracji Szybki procesor - zastosowanie technologii ASIC pozwala wykonywać CPU proste instrukcje z prędkością 0,2 us/1 krok Modyfikacja

Bardziej szczegółowo

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie:

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie: Zaliczenie Termin zaliczenia: 14.06.2007 Sala IE 415 Termin poprawkowy: >18.06.2007 (informacja na stronie: http://neo.dmcs.p.lodz.pl/tm/index.html) 1 Współpraca procesora z urządzeniami peryferyjnymi

Bardziej szczegółowo

Rok akademicki: 2030/2031 Kod: EIT s Punkty ECTS: 4. Poziom studiów: Studia I stopnia Forma i tryb studiów: -

Rok akademicki: 2030/2031 Kod: EIT s Punkty ECTS: 4. Poziom studiów: Studia I stopnia Forma i tryb studiów: - Nazwa modułu: Podstawy elektroniki cyfrowej Rok akademicki: 2030/2031 Kod: EIT-1-304-s Punkty ECTS: 4 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek: Informatyka Specjalność:

Bardziej szczegółowo

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek

Plan wykładu. Architektura systemów komputerowych. Cezary Bolek Architektura systemów komputerowych Poziom układów logicznych. Układy sekwencyjne Cezary Bolek Katedra Informatyki Plan wykładu Układy sekwencyjne Synchroniczność, asynchroniczność Zatrzaski Przerzutniki

Bardziej szczegółowo

Porty wejścia/wyjścia w układach mikroprocesorowych i w mikrokontrolerach

Porty wejścia/wyjścia w układach mikroprocesorowych i w mikrokontrolerach 0-- Porty wejścia/wyjścia w układach mikroprocesorowych i w mikrokontrolerach Semestr zimowy 0/0, WIEiK-PK Porty wejścia-wyjścia Input/Output ports Podstawowy układ peryferyjny port wejścia-wyjścia do

Bardziej szczegółowo

2.8 TOR CHROMINANCJI TX807 PFS WPROWADZENIE OPIS FUNKCJONALNY KLUCZOWE PODZESPOLY SPECYFIKACJA DOCELOWA 2.8.

2.8 TOR CHROMINANCJI TX807 PFS WPROWADZENIE OPIS FUNKCJONALNY KLUCZOWE PODZESPOLY SPECYFIKACJA DOCELOWA 2.8. Strona 1/5 Data 16/09/99 TX807 PFS 2.8 TOR CHROMINANCJI 2.8.1 WPROWADZENIE 2.8.2 OPIS FUNKCJONALNY 2.8.3 KLUCZOWE PODZESPOLY 2.8.4 SPECYFIKACJA DOCELOWA 2.8.5 SCHEMAT UKLADU 2.8.6 WYKAZ POLACZEN Strona

Bardziej szczegółowo

Programowalne Układy Cyfrowe Laboratorium

Programowalne Układy Cyfrowe Laboratorium Zdjęcie opracowanej na potrzeby prowadzenia laboratorium płytki przedstawiono na Rys.1. i oznaczono na nim najważniejsze elementy: 1) Zasilacz i programator. 2) Układ logiki programowalnej firmy XILINX

Bardziej szczegółowo

Układy programowalne

Układy programowalne Układy programowalne SPLD, CPLD, FPGA Podział układów programowalnych Procesory strukturalne Procesor Procesory proceduralne ASIC/ASSP PLD mikroprocesor mikrokontroler SPLD CPLD FPGA PROM, PLE, PLA, PAL,

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

LABORATORIUM PROJEKTOWANIA UKŁADÓW VLSI

LABORATORIUM PROJEKTOWANIA UKŁADÓW VLSI Wydział EAIiE LABORATORIUM PROJEKTOWANIA UKŁADÓW VLSI Temat projektu OŚMIOWEJŚCIOWA KOMÓRKA UKŁADU PAL Z ZASTOSOWANIEM NA PRZYKŁADZIE MULTIPLEKSERA Autorzy Tomasz Radziszewski Zdzisław Rapacz Rok akademicki

Bardziej szczegółowo

TRU-5321. ULTIMA ul. Okrezna 1 81-859 SOPOT tel./fax. (58) 341 16 61 tel. (58) 555 71 49 email: ultima@ultima.gda.pl http://www.ultima.gda.

TRU-5321. ULTIMA ul. Okrezna 1 81-859 SOPOT tel./fax. (58) 341 16 61 tel. (58) 555 71 49 email: ultima@ultima.gda.pl http://www.ultima.gda. INSTRUKCJ OSLUGI INSTRUKCJ OSLUGI RS RS RS RS RS RS RS RS RS RS RS RS Repeater RS RS Separator RS RS TRU ULTIM ULTIM ul. Okrezna SOPOT tel./fax. () tel. () email: ultima@ultima.gda.pl http://www.ultima.gda.pl

Bardziej szczegółowo

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem

Cyfrowe Elementy Automatyki. Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Cyfrowe Elementy Automatyki Bramki logiczne, przerzutniki, liczniki, sterowanie wyświetlaczem Układy cyfrowe W układach cyfrowych sygnały napięciowe (lub prądowe) przyjmują tylko określoną liczbę poziomów,

Bardziej szczegółowo

Układy FPGA. Programowalne Układy Cyfrowe dr inż. Paweł Russek

Układy FPGA. Programowalne Układy Cyfrowe dr inż. Paweł Russek Układy FPGA Programowalne Układy Cyfrowe dr inż. Paweł Russek Program wykładu Geneza Technologia Struktura Funktory logiczne, sieć połączeń, bloki we/wy Współczesne układy FPGA Porównanie z ASIC Literatura

Bardziej szczegółowo

Wykład Mikroprocesory i kontrolery

Wykład Mikroprocesory i kontrolery Wykład Mikroprocesory i kontrolery Cele wykładu: Poznanie podstaw budowy, zasad działania mikroprocesorów i układów z nimi współpracujących. Podstawowa wiedza potrzebna do dalszego kształcenia się w technice

Bardziej szczegółowo

Wstęp...9. 1. Architektura... 13

Wstęp...9. 1. Architektura... 13 Spis treści 3 Wstęp...9 1. Architektura... 13 1.1. Schemat blokowy...14 1.2. Pamięć programu...15 1.3. Cykl maszynowy...16 1.4. Licznik rozkazów...17 1.5. Stos...18 1.6. Modyfikowanie i odtwarzanie zawartości

Bardziej szczegółowo

1. Podstawowe wiadomości...9. 2. Możliwości sprzętowe... 17. 3. Połączenia elektryczne... 25. 4. Elementy funkcjonalne programów...

1. Podstawowe wiadomości...9. 2. Możliwości sprzętowe... 17. 3. Połączenia elektryczne... 25. 4. Elementy funkcjonalne programów... Spis treści 3 1. Podstawowe wiadomości...9 1.1. Sterowniki podstawowe wiadomości...10 1.2. Do czego służy LOGO!?...12 1.3. Czym wyróżnia się LOGO!?...12 1.4. Pierwszy program w 5 minut...13 Oświetlenie

Bardziej szczegółowo

Opis przedmiotu zamówienia

Opis przedmiotu zamówienia Opis przedmiotu zamówienia Stanowiska do badań algorytmów sterowania interfejsów energoelektronicznych zasobników energii bazujących na układach programowalnych FPGA. Stanowiska laboratoryjne mają służyć

Bardziej szczegółowo

mgr inż. Maciej Rudek opracował: dr inż. Daniel Kopiec

mgr inż. Maciej Rudek opracował: dr inż. Daniel Kopiec Programowanie Układów Logicznych kod kursu: ETD623 Pamięć w układach programowalnych W6 4.4.28 mgr inż. Maciej Rudek opracował: dr inż. Daniel Kopiec Plan wykładu Powtórka wiadomości Pamięć w układach

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 10 (3h) Implementacja interfejsu SPI w strukturze programowalnej Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Cyfrowe układy scalone

Cyfrowe układy scalone Cyfrowe układy scalone Ryszard J. Barczyński, 2012 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Publikacja współfinansowana ze środków

Bardziej szczegółowo

Zastosowania mikrokontrolerów w przemyśle

Zastosowania mikrokontrolerów w przemyśle Zastosowania mikrokontrolerów w przemyśle Cezary MAJ Katedra Mikroelektroniki i Technik Informatycznych Budowa sterownika PLC Moduł jednostka centralna Zasilacz Moduły wejść/wyjść Moduły komunikacyjne

Bardziej szczegółowo

Opis przedmiotu zamówienia CZĘŚĆ 1

Opis przedmiotu zamówienia CZĘŚĆ 1 Opis przedmiotu zamówienia CZĘŚĆ 1 Stanowiska do badań algorytmów sterowania interfejsów energoelektronicznych zasobników energii bazujących na układach programowalnych FPGA. Stanowiska laboratoryjne mają

Bardziej szczegółowo

Cyfrowe układy scalone

Cyfrowe układy scalone Cyfrowe układy scalone Ryszard J. Barczyński, 2010 2015 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Cyfrowe układy scalone Układy cyfrowe

Bardziej szczegółowo

Podstawy elektroniki cz. 2 Wykład 2

Podstawy elektroniki cz. 2 Wykład 2 Podstawy elektroniki cz. 2 Wykład 2 Elementarne prawa Trzy elementarne prawa 2 Prawo Ohma Stosunek natężenia prądu płynącego przez przewodnik do napięcia pomiędzy jego końcami jest stały R U I 3 Prawo

Bardziej szczegółowo

4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ

4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ 4. UKŁADY FUNKCJONALNE TECHNIKI CYFROWEJ 4.1. UKŁADY KONWERSJI KODÓW 4.1.1. Kody Kod - sposób reprezentacji sygnału cyfrowego za pomocą grupy sygnałów binarnych: Sygnał cyfrowy wektor bitowy Gdzie np.

Bardziej szczegółowo

MODEL KOMÓRKI UKŁADU FPGA ZBUDOWANEGO W OPARCIU O BRAMKI PRĄDOWE

MODEL KOMÓRKI UKŁADU FPGA ZBUDOWANEGO W OPARCIU O BRAMKI PRĄDOWE MODEL KOMÓRKI UKŁADU FPGA ZBUDOWANEGO W OPARCIU O BRAMKI PRĄDOWE Oeg Maslennikow, Robert Berezowski, Przemysław Sołtan Politechnika Koszalińska, Wydział Elektroniki, ul. Partyzantów 17, 75-411 Koszalin

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

IC200UDR002 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO

IC200UDR002 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO IC200UDR002 8 wejść dyskretnych 24 VDC, logika dodatnia/ujemna. Licznik impulsów wysokiej częstotliwości. 6 wyjść przekaźnikowych 2.0 A. Port: RS232. Zasilanie: 24 VDC. Sterownik VersaMax Micro UDR002

Bardziej szczegółowo

System mikroprocesorowy i peryferia. Dariusz Chaberski

System mikroprocesorowy i peryferia. Dariusz Chaberski System mikroprocesorowy i peryferia Dariusz Chaberski System mikroprocesorowy mikroprocesor pamięć kontroler przerwań układy wejścia wyjścia kontroler DMA 2 Pamięć rodzaje (podział ze względu na sposób

Bardziej szczegółowo

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu

Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej - opis przedmiotu Informacje ogólne Nazwa przedmiotu Podstawy techniki cyfrowej i mikroprocesorowej Kod przedmiotu 06.5-WE-AiRP-PTCiM Wydział Kierunek Wydział

Bardziej szczegółowo

Programowalna matryca logiczna

Programowalna matryca logiczna Programowalna matryca logiczna 1. Wprowadzenie We współczesnej elektronice cyfrowej obecne są dwa trendy rozwoju [1]: Specjalizowane układy scalone ASIC (ang. Application Specific Integrated Circuits)

Bardziej szczegółowo

Temat: Pamięci. Programowalne struktury logiczne.

Temat: Pamięci. Programowalne struktury logiczne. Temat: Pamięci. Programowalne struktury logiczne. 1. Pamięci są układami służącymi do przechowywania informacji w postaci ciągu słów bitowych. Wykonuje się jako układy o bardzo dużym stopniu scalenia w

Bardziej szczegółowo

Opracował: Jan Front

Opracował: Jan Front Opracował: Jan Front Sterownik PLC PLC (Programowalny Sterownik Logiczny) (ang. Programmable Logic Controller) mikroprocesorowe urządzenie sterujące układami automatyki. PLC wykonuje w sposób cykliczny

Bardziej szczegółowo

NX70 PLC www.atcontrol.pl

NX70 PLC www.atcontrol.pl NX70 PLC NX70 Właściwości Rozszerzalność, niezawodność i łatwość w integracji Szybki procesor - zastosowanie technologii ASIC pozwala wykonywać CPU proste instrukcje z prędkością 0,2 us/1 krok Modyfikacja

Bardziej szczegółowo

Politechnika Warszawska

Politechnika Warszawska Politechnika Warszawska Instytut Metrologii i Inżynierii Biomedycznej ul. Św. Andrzeja Boboli 8, 02-525 Warszawa Logiczne Układy Programowalne Wykład II Układy PLD - wprowadzenie dr inż. Jakub Żmigrodzki

Bardziej szczegółowo

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające

PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające PRZERZUTNIKI: 1. Należą do grupy bloków sekwencyjnych, 2. podstawowe układy pamiętające Zapamiętywanie wartości wybranych zmiennych binarnych, jak również sekwencji tych wartości odbywa się w układach

Bardziej szczegółowo

SWB - Wprowadzenie, funkcje boolowskie i bramki logiczne - wykład 1 asz 1. Plan wykładu

SWB - Wprowadzenie, funkcje boolowskie i bramki logiczne - wykład 1 asz 1. Plan wykładu SWB - Wprowadzenie, funkcje boolowskie i bramki logiczne - wykład 1 asz 1 Plan wykładu 1. Wprowadzenie, funkcje boolowskie i bramki logiczne, 2. Minimalizacja funkcji boolowskich, 3. Kombinacyjne bloki

Bardziej szczegółowo

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania). Ćw. 10 Układy sekwencyjne 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną układy rejestrów

Bardziej szczegółowo

Cyfrowe układy scalone

Cyfrowe układy scalone Ryszard J. Barczyński, 2 25 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Układy cyfrowe stosowane są do przetwarzania informacji zakodowanej

Bardziej szczegółowo

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1

Część 3. Układy sekwencyjne. Układy sekwencyjne i układy iteracyjne - grafy stanów TCiM Wydział EAIiIB Katedra EiASPE 1 Część 3 Układy sekwencyjne Układy sekwencyjne i układy iteracyjne - grafy stanów 18.11.2017 TCiM Wydział EAIiIB Katedra EiASPE 1 Układ cyfrowy - przypomnienie Podstawowe informacje x 1 x 2 Układ cyfrowy

Bardziej szczegółowo

UKŁADY CYFROWE. Układ kombinacyjny

UKŁADY CYFROWE. Układ kombinacyjny UKŁADY CYFROWE Układ kombinacyjny Układów kombinacyjnych są bramki. Jedną z cech układów kombinacyjnych jest możliwość przedstawienia ich działania (opisu) w postaci tabeli prawdy. Tabela prawdy podaje

Bardziej szczegółowo

Szczegółowy opis przedmiotu zamówienia. Część 1 - Laboratoryjny zestaw prototypowy

Szczegółowy opis przedmiotu zamówienia. Część 1 - Laboratoryjny zestaw prototypowy Załącznik nr 6 do SIWZ Szczegółowy opis przedmiotu zamówienia Ilość: 3 sztuki (kpl.) CPV 38434000-6 analizatory Część 1 - Laboratoryjny zestaw prototypowy Parametry urządzenia: Zintegrowany oscyloskop:

Bardziej szczegółowo

Krótkie przypomnienie

Krótkie przypomnienie Krótkie przypomnienie x i ={,} y i ={,} w., p. Bramki logiczne czas propagacji Odpowiedź na wyjściu bramki następuje po pewnym, charakterystycznym dla danego układu czasie od momentu zmiany sygnałów wejściowych.

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

Laboratorium Asemblerów, WZEW, AGH WFiIS Tester NMOS ów

Laboratorium Asemblerów, WZEW, AGH WFiIS Tester NMOS ów Pomiar charakterystyk prądowonapięciowych tranzystora NMOS Napisz program w asemblerze kontrolera picoblaze wykorzystujący możliwości płyty testowej ze Spartanem 3AN do zbudowania prostego układu pomiarowego

Bardziej szczegółowo

Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, Spis treści

Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, Spis treści Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, 2017 Spis treści Przedmowa 11 ROZDZIAŁ 1 Wstęp 13 1.1. Rys historyczny 14 1.2. Norma IEC 61131 19 1.2.1. Cele i

Bardziej szczegółowo

IC200UDD110 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO

IC200UDD110 ASTOR GE INTELLIGENT PLATFORMS - VERSAMAX NANO/MICRO IC200UDD110 16 wejść dyskretnych 24 VDC, logika dodatnia/ujemna. Licznik impulsów wysokiej częstotliwości. 12 wyjść tranzystorowych 24 VDC. Porty: RS232, RS485. Zasilanie: 24 VDC. Sterownik VersaMax Micro

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Układy synchroniczne Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 26 października 2015 Co to jest układ sekwencyjny? W układzie sekwencyjnym,

Bardziej szczegółowo

Wykład Mikrokontrolery i mikrosystemy Cele wykładu:

Wykład Mikrokontrolery i mikrosystemy Cele wykładu: Wykład Mikrokontrolery i mikrosystemy Cele wykładu: Poznanie podstaw budowy, zasad działania i sterowania mikrokontrolerów i ich urządzeń peryferyjnych. Niezbędna wiedza do dalszego samokształcenia się

Bardziej szczegółowo

ZL6PLD zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx

ZL6PLD zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx ZL6PLD Zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx 1 ZL6PLD jest zestawem uruchomieniowym dla układów FPGA z rodziny Spartan 3 firmy Xilinx. Oprócz układu PLD o dużych zasobach

Bardziej szczegółowo

Opis funkcjonalny i architektura. Modu³ sterownika mikroprocesorowego KM535

Opis funkcjonalny i architektura. Modu³ sterownika mikroprocesorowego KM535 Opis funkcjonalny i architektura Modu³ sterownika mikroprocesorowego KM535 Modu³ KM535 jest uniwersalnym systemem mikroprocesorowym do pracy we wszelkiego rodzaju systemach steruj¹cych. Zastosowanie modu³u

Bardziej szczegółowo

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB Ćw. 9 Przerzutniki 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi elementami sekwencyjnymi, czyli przerzutnikami. Zostanie przedstawiona zasada działania przerzutników oraz sposoby

Bardziej szczegółowo

Rok akademicki: 2016/2017 Kod: EAR s Punkty ECTS: 4. Poziom studiów: Studia I stopnia Forma i tryb studiów: -

Rok akademicki: 2016/2017 Kod: EAR s Punkty ECTS: 4. Poziom studiów: Studia I stopnia Forma i tryb studiów: - Nazwa modułu: Technika mikroprocesorowa Rok akademicki: 2016/2017 Kod: EAR-1-496-s Punkty ECTS: 4 Wydział: Elektrotechniki, Automatyki, Informatyki i Inżynierii Biomedycznej Kierunek: Automatyka i Robotyka

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Przerzutniki Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 20 maja 2013 Przerzutnik synchroniczny Układ synchroniczny wyzwalany ustalonym

Bardziej szczegółowo

Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop Spis treści

Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop Spis treści Szkoła programisty PLC : sterowniki przemysłowe / Gilewski Tomasz. Gliwice, cop. 2017 Spis treści O autorze 9 Wprowadzenie 11 Rozdział 1. Sterownik przemysłowy 15 Sterownik S7-1200 15 Budowa zewnętrzna

Bardziej szczegółowo

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11 Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1 Spis treúci Przedmowa... 9 Wstęp... 11 1. Komputer PC od zewnątrz... 13 1.1. Elementy zestawu komputerowego... 13 1.2.

Bardziej szczegółowo

Strona 1/9 Data 1116/09/99. TV PRODUCT DEVELOPMENT LABORATORIES Opracowal CHIASY 2.6 SPECYFIKACJA FUNKCJONALNA WYROBU TX807 MIKROPROCESOR WYDANIE 1.

Strona 1/9 Data 1116/09/99. TV PRODUCT DEVELOPMENT LABORATORIES Opracowal CHIASY 2.6 SPECYFIKACJA FUNKCJONALNA WYROBU TX807 MIKROPROCESOR WYDANIE 1. Strona 1/9 Data 1116/09/99 2.6 SPECYFIKACJA FUNKCJONALNA WYROBU TX807 MIKROPROCESOR WYDANIE 1.0 15 STYCZEN 1997 Strona 2/9 Data 1116/09/99 SPIS TRESCI 2.6.1.0 WPROWADZENIE 2.6.2.0 ROZKLAD WYPROWADZEN MIKROPROCESORA

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Układy sekwencyjne. 1. Czas trwania: 6h

Układy sekwencyjne. 1. Czas trwania: 6h Instytut Fizyki oświadczalnej UG Układy sekwencyjne 1. Czas trwania: 6h 2. Cele ćwiczenia Poznanie zasad działania podstawowych typów przerzutników: RS, -latch,, T, JK-MS. Poznanie zasad działania rejestrów

Bardziej szczegółowo

MIKROPROCESOROWE UKŁADY STEROWANIA

MIKROPROCESOROWE UKŁADY STEROWANIA Mikroprocesorowe Układy Sterowania MIKROPROCESOROWE UKŁADY STEROWANIA Prowadzący: dr inż. Paweł Szczepankowski e-mail: pszczep@ely.pg.gda.pl telefon: 58 3471139 WYKŁAD 1. Warsztat pracy inżyniera MUS narzędzia

Bardziej szczegółowo

OPIS STEROWNIKA 841 USB

OPIS STEROWNIKA 841 USB OPIS STEROWNIKA 841 USB Sterownik sklada sie z nastepujacych bloków: procesora sterujacego, przetwornika Analogowo/Cyfrowego 12 bitów 8 kanalów przetwornika Cyfrowo/Analogowego 12 bitów 1 kanal (opcja)

Bardziej szczegółowo

XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej. XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej

XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej. XXXII Olimpiada Wiedzy Elektrycznej i Elektronicznej Zestaw pytań finałowych numer : 1 1. Wzmacniacz prądu stałego: własności, podstawowe rozwiązania układowe 2. Cyfrowy układ sekwencyjny - schemat blokowy, sygnały wejściowe i wyjściowe, zasady syntezy 3.

Bardziej szczegółowo

PROGRAMOWALNE STEROWNIKI LOGICZNE

PROGRAMOWALNE STEROWNIKI LOGICZNE PROGRAMOWALNE STEROWNIKI LOGICZNE I. Wprowadzenie Klasyczna synteza kombinacyjnych i sekwencyjnych układów sterowania stosowana do automatyzacji dyskretnych procesów produkcyjnych polega na zaprojektowaniu

Bardziej szczegółowo