THE HARDWARE IMPLMENTATION OF THE PS/2 PROTOCOL ON SPARTAN 3 FPGA DEVICE IMPLEMENTACJA SPRZĘTOWA PROTOKOŁU PS/2 W UKLADZIE FPGA SPARTAN 3

Wielkość: px
Rozpocząć pokaz od strony:

Download "THE HARDWARE IMPLMENTATION OF THE PS/2 PROTOCOL ON SPARTAN 3 FPGA DEVICE IMPLEMENTACJA SPRZĘTOWA PROTOKOŁU PS/2 W UKLADZIE FPGA SPARTAN 3"

Transkrypt

1 Szymon Kozień IV rok Koło Naukowe Techniki Cyfrowej Dr inż. Wojciech Mysiński opiekun naukowy THE HARDWARE IMPLMTATION OF THE PS/ PROTOCOL ON SPARTAN FPGA DEVICE IMPLEMTACJA SPRZĘTOWA PROTOKOŁU PS/ W UKLADZIE FPGA SPARTAN Keywords: PS/ protocol, keyboard, FPGA, Spartan, Xilinx Słowa kluczowe: protokół PS/, klawiatura, FPGA, Spartan, Xilinx This paper presents structural VHDL module used for communication between PC keyboard and FPGA device. It will be also described PS/ protocol, host-to-device and deviceto-host communication..wstęp Układy FPGA (Field Programmable Gate Array) posiadają coraz większą rzeszę zwolenników, również wśród pasjonatów i amatorów techniki cyfrowej. Duża funkcjonalność tego typu struktury, wciąż malejące ceny oraz łatwość programowania skutkują coraz większym zainteresowaniem układami FPGA. Wiele projektów realizowanych w strukturach programowalnych wymaga komunikacji z użytkownikiem przy pomocy przycisków. Często także zachodzi potrzeba właśnie takiej komunikacji jeszcze w fazie projektowania, gdy chcemy zweryfikować poprawność działania części układu (np. pojedynczego komponentu). W dostępnych na rynku płytach prototypowych z układami FPGA montowane są zazwyczaj przyciski typu microswitch. Ilość tych przycisków może okazać się niewystarczająca dla naszych potrzeb. Ponadto ze względu na występowanie drgań zestyków przycisku mechanicznego zachodzi konieczność zaprojektowania dodatkowych układów wewnątrz struktury FPGA. Z drugiej strony, niemal każda płyta prototypowa posiada złącze PS/. Warto więc w celu komunikacji z użytkownikiem zastosować tanią i łatwo dostępną klawiaturę PC.. Złącze PS/.. Nie połączone. GND. Vcc (+5V) Nie połączone Rys. Złącze PS/ (żeńskie)

2 Złącze PS/ (następca większego, 5-cio pinowego złącza DIN) jest złączem 6-cio pinowym, z czego tylko wykorzystane są w interfejsie klawiatury PC. Klawiatura zasilana jest napięciem 5V.. Protokół PS/ Transmisja danych w protokole PS/ odbywa się szeregowo, synchronicznie. Sygnał zegarowy nadawany jest wyłącznie przez urządzenie. Jeżeli następuje jakieś zdarzenie (np. wciśnięcie lub puszczenie klawisza) urządzenie wysyła dane do hosta przy pomocy -to bitowych ramek (rys.). Rys. Komunikacja urządzenia z hostem Każda ramka zawiera:. Bit startu (zawsze równy ). bitów danych. Bit parzystości (kontrola nieparzystości). Bit stopu (zawsze równy ) Transmisja danych w przypadku gdy host chce wysłać dane do urządzenia przebiega nieco inaczej (rys.). Rys. Komunikacja hosta z urządzeniem W celu rozpoczęcia transmisji danych host musi ustawić sygnał zegarowy na poziom niski. Po us przywraca sygnał zegarowy na poziom wysoki oraz ustawia sygnał danych na poziom niski. Jest to informacja dla urządzenia aby zaczęło nadawać sygnał zegarowy. Przy każdym zboczu opadającym sygnału zegarowego host powinien wystawić na wyjście kolejny bit ramki. Po jedenastym zboczu narastającym sygnału zegarowego urządzenie wysyła bit ACK.. Kodowanie klawiszy klawiatury PC Istnieją trzy sposoby kodowania klawiszy, z czego powszechnie używany jest kod nr (obok kodu nr oraz kodu nr ). W kodzie tym każdy klawisz posiada:

3 Make code - kod wysyłany w momencie naciśnięcia klawisza, Break code - kod wysyłany w momencie puszczenia klawisza. Klawisze w kodzie nr możemy podzielić na dwie grupy. Pierwszą z nich stanowią klawisze, których make code mieści się w jednej ramce. Druga grupa ( tzw. klawiszy rozszerzonych) zawiera klawisze, których kody make mieszczą się w dwóch ramkach. Pierwsza ramka klawisza rozszerzonego zawiera zawsze dane E. Podczas puszczania klawisza wysyłany jest break code. Jest on co najmniej dwuramkowy i zawiera zawsze ramkę F. Tab. Kody make oraz break wybranych klawiszy (kod nr ) KEY MAKE BREAK A C F, C 5 F, 5 UP ARROW E, 75 E,F,75 KP 75 F, Schemat ideowy układu Układ posiada wejścia: zegarowe, sygnał (z klawiatury), sygnał (z klawiatury), oraz wejście resetujące. Na wyjściu mamy ośmiobitowy kod aktualnie wciśniętego klawisza oraz jednobitowy znacznik kodu rozszerzonego. Układ składa się z pięciu modułów: układu sterującego, modułu wejścia/wyjścia, modułu wyjścia, rejestru oraz komparatorów (rys.). KEYBOARD MODULE KEY_, STATUS_ KB_ PORT_, PORT_, SD_COUNTER_, SD_TIMER_, COUNTER_, PISO_SET, SD_COUNTER_RST, COUNTER_RST KEY_ KB_ RST I/O BLOCK DRIVER KEY_, STATUS_, STATUS_IN, STATUS_MUX_ADDR KEY_MUX_ADDR PUT BLOCK STATUS_ CHANGE, RST_, SD_COUNT, SD_TIME 5 5 REGISTER_IN, REGISTER_ S COPARATOR REGISTER REGISTER_ REGISTER_() Rys. Schemat ideowy modułu Układ sterujący (rys.5) jest to typowy automat Moore a, zrealizowany na rejestrze PIPO i układzie kombinacyjnym. Rejestr PIPO przechowuje aktualny stan układu. Wartość odpowiednich sygnałów sterujących oraz stan następny zależy wyłącznie od stanu aktualnego

4 oraz sygnału pochodzącego z modułu zawierającego komparatory. Układ jest synchronizowany zewnętrznym sygnałem zegarowym MHz. DRIVER KEY_, STATUS_, STATUS_IN, STATUS_MUX_ADDR KEY_MUX_ADDR 5 PORT_, PORT_, SD_COUNTER_, ROM SD_TIMER_, COUNTER_, PISO_SET, SD_COUNTER_RST, COUNTER_RST REGISTER_IN REGISTER_ PREST_STATE IN NEXT_STATE PIPO Rys.5 Schemat ideowy układu sterowania 5.. Schemat ideowy rejestru Rejestr (rys.6) przechowuje informacje o aktualnie przychodzącym rozkazie. Zawiera pola mówiące czy klawisz, którego kod aktualnie jest odbierany, ma być usunięty (F), jest rozszerzony (E), oraz czy nastąpiła zmiana w rejestrze PIPO w module I/O. REGISTER D REGISTER_IN D flip -flop D REGISTER_ REGISTER_ D flip -flop T T flip-flop Rys.6 Schemat ideowy rejestru REGISTER_(): jeżeli przyszła ramka F, w przeciwnym wypadku REGISTER_(): jeżeli przyszła ramka E, w przeciwnym wypadku REGISTER_(): zmienia swą wartość na przeciwną przy każdym przyjściu nowej ramki 5.. Schemat ideowy modułu wejścia/wyjścia Moduł I/O (rys.7) odpowiada za odbiór i nadawanie danych. Stąd wyróżniamy bufory trójstanowe odpowiedzialne za ustalenie kierunku transmisji.

5 Gdy dane są odbierane, na wyjściu buforów jest stan wysokiej impedancji. Dane odbierane trafiają do rejestru SIPO. Gdy zostaną zliczone wszystkie zbocza zegarowe ramki, zawartość tego rejestru przerzucana jest do rejestru PIPO i możliwy jest dalszy odbiór danych w rejestrze SIPO. Gdy dane maja być wysłane, na wyjściu bufora danych jest sygnał wyjściowy rejestru PISO. Wraz z każdym zboczem opadającym sygnału zegarowego, rejestr ten wystawia kolejny bit na wyjście. Dodatkowo umieszczone są w tym module układy licznikowe, używane przy wysyłaniu danych z hosta. Blok BUTTON_DRIVER pełni rolę przerzutnika monostabilnego. Jego obecność wymagana jest ze względu na drgania zestyków przycisku mechanicznego RST. S I/O MODULE PISO_SET KB PORT_ x7feh IN SET PISO SIPO IN PISO_ IN xe xf () () KB GND PORT_ COUNTER_ COUNTER_RST SIPO COUNT IN RST xah COUNTER PIPO COUNT_D T T flip-flop CHANGE KEY_ SD_TIME 7 () (5) SD_COUNTER_ SD_COUNTER_RST SD_TIMER_ IN RST COUNTER IN 7 SD_COUNT SD_TIME SD_COUNT x6 7 xa () () () (6) (7) () () RST COUNTER IN RST_ () xc BUTTON_DRIVER REGISTER_ STATUS_ CHANGE RST_ Rys.7 Schemat ideowy modułu we/wy Rys. Schemat ideowy komparatorów 5.. Schemat ideowy modułu komparatorów Moduł S (rys. ) odpowiedzialny jest za odpowiednie ukształtowanie sygnału będącego sygnałem wejściowym układu sterowania. W module tym wykonywane są porównania odpowiednich sygnałów z wartościami stałymi lub innymi sygnałami. W zależności od wyniku porównania układ sterowania podejmuje określone działania. W module tym sprawdzane są:. Wartość sygnału, czy nie zawiera rozkazu usunięcia (F) lub kodu rozszerzonego (E). Sprawdzane jest, przy usuwaniu klawisza, czy jego kod zgodny jest z kodem aktualnie będącym na wyjściu całego modułu

6 . Czy nastąpiła zmiana w rejestrze PIPO w module I/O oraz czy został naciśnięty przycisk RST. Stan liczników służących do wysyłania danych 5.5. Schemat ideowy modułu wyjścia Moduł wyjścia (rys.) zawiera dwa multipleksery (jeden grupowy) dwuwejściowe służące do ustawienia odpowiednich sygnałów na wejściu rejestru wyjściowego i przerzutnika typu D. Adresy multiplekserów jak również sygnały przerzutników ustalane są przez układ sterownia. PUT MODULE xh KEY_MUX_ADDR IN IN Group MUX KEY_IN IN KEY_ KEY_ PIPO REGISTER_() IN IN STATUS_IN D STATUS_ STATUS_MUX_ADDR STATUS_ MUX D flip -flop Rys. Schemat ideowy modułu wyjścia 6. Realizacja sprzętowa oraz symulacja układu Układ został zaprojektowany w języku VHDL w środowisku ISE WebPack.i oraz zrealizowany sprzętowo w układzie FPGA Spartan XCS na płycie prototypowej Altium Designer LiveDesign Evaluation Kit. Testy poszczególnych modułów wykonane zostały w darmowej wersji programu ModelSim Xilinx Edition firmy Mentor Graphics. Pomoce naukowe: [] Zwoliński M.: Projektowanie układów cyfrowych z wykorzystaniem języka VHDL, Wydawnictwa Komunikacji i Łączności, Warszawa 7 [] Skahill K.: Język VHDL. Projektowanie programowalnych układów logicznych, Wydawnictwa Naukowo-Techniczne, Warszawa [] Chapweske A.:

interfejs szeregowy wyświetlaczy do systemów PLC

interfejs szeregowy wyświetlaczy do systemów PLC LDN SBCD interfejs szeregowy wyświetlaczy do systemów PLC SEM 08.2003 Str. 1/5 SBCD interfejs szeregowy wyświetlaczy do systemów PLC INSTRUKCJA OBSŁUGI Charakterystyka Interfejs SBCD w wyświetlaczach cyfrowych

Bardziej szczegółowo

LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY. Rev.1.1

LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY. Rev.1.1 LABORATORIUM TECHNIKA CYFROWA LICZNIKI I REJESTRY Rev.1.1 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z zakresu projektowania układów kombinacyjnych oraz arytmetycznych 2. Projekty Przy

Bardziej szczegółowo

Programowalne Układy Cyfrowe Laboratorium

Programowalne Układy Cyfrowe Laboratorium Zdjęcie opracowanej na potrzeby prowadzenia laboratorium płytki przedstawiono na Rys.1. i oznaczono na nim najważniejsze elementy: 1) Zasilacz i programator. 2) Układ logiki programowalnej firmy XILINX

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Magistrale W układzie bank rejestrów do przechowywania danych. Wybór źródła danych

Bardziej szczegółowo

dokument DOK 02-05-12 wersja 1.0 www.arskam.com

dokument DOK 02-05-12 wersja 1.0 www.arskam.com ARS3-RA v.1.0 mikro kod sterownika 8 Linii I/O ze zdalną transmisją kanałem radiowym lub poprzez port UART. Kod przeznaczony dla sprzętu opartego o projekt referencyjny DOK 01-05-12. Opis programowania

Bardziej szczegółowo

DOKUMENTACJA PROJEKTU

DOKUMENTACJA PROJEKTU AKADEMIA GÓRNICZO-HUTNICZA w Krakowie KATEDRA ELEKTRONIKI DOKUMENTACJA PROJEKTU Projekt z przedmiotu Sprzętowa Implementacja Algorytmów: Dekoder klawiatury na PS/2 Prowadzący: Dr inż. Paweł Russek Wykonali:

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 10 (3h) Implementacja interfejsu SPI w strukturze programowalnej Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

UKŁADY MIKROPROGRAMOWALNE

UKŁADY MIKROPROGRAMOWALNE UKŁAD MIKROPROGRAMOWALNE Układy sterujące mogą pracować samodzielnie, jednakże w przypadku bardziej złożonych układów (zwanych zespołami funkcjonalnymi) układ sterujący jest tylko jednym z układów drugim

Bardziej szczegółowo

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2

Cyfrowe układy sekwencyjne. 5 grudnia 2013 Wojciech Kucewicz 2 Cyfrowe układy sekwencyjne 5 grudnia 2013 Wojciech Kucewicz 2 Układy sekwencyjne Układy sekwencyjne to takie układy logiczne, których stan wyjść zależy nie tylko od aktualnego stanu wejść, lecz również

Bardziej szczegółowo

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu. DATA: Ćwiczenie nr 4 PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.pl 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie

Bardziej szczegółowo

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych

Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych Ochrona własności intelektualnej projektów w układach FPGA poprzez szyfrowanie danych konfiguracyjnych (Na przykładzie projektowania układów sterujacych) Grzegorz Łabiak i Marek Węgrzyn Instytut Informatyki

Bardziej szczegółowo

Na początek: do firmowych ustawień dodajemy sterowanie wyłącznikiem ściennym.

Na początek: do firmowych ustawień dodajemy sterowanie wyłącznikiem ściennym. Na początek: do firmowych ustawień dodajemy sterowanie wyłącznikiem ściennym. Mamy dwa rodzaje wyłączników ściennych: 1. Stabilny który zazwyczaj wszyscy używają do włączania oświetlenia. Nazywa się stabilny

Bardziej szczegółowo

Materiały pomocnicze do ćwiczeń z podstaw techniki cyfrowej (przygotował R.Walkowiak) Dla studiów niestacjonarnych rok AK 2017/18

Materiały pomocnicze do ćwiczeń z podstaw techniki cyfrowej (przygotował R.Walkowiak) Dla studiów niestacjonarnych rok AK 2017/18 Materiały pomocnicze do ćwiczeń z podstaw techniki cyfrowej (przygotował R.Walkowiak) Dla studiów niestacjonarnych rok AK 2017/18 ZADANIE 1 Komparator szeregowy 2 liczb Specyfikacja wymagań dla układu

Bardziej szczegółowo

dwójkę liczącą Licznikiem Podział liczników:

dwójkę liczącą Licznikiem Podział liczników: 1. Dwójka licząca Przerzutnik typu D łatwo jest przekształcić w przerzutnik typu T i zrealizować dzielnik modulo 2 - tzw. dwójkę liczącą. W tym celu wystarczy połączyć wyjście zanegowane Q z wejściem D.

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. PTC 2015/2016 Magistrale W układzie cyfrowym występuje bank rejestrów do przechowywania

Bardziej szczegółowo

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB

Ćw. 9 Przerzutniki. 1. Cel ćwiczenia. 2. Wymagane informacje. 3. Wprowadzenie teoretyczne PODSTAWY ELEKTRONIKI MSIB Ćw. 9 Przerzutniki 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi elementami sekwencyjnymi, czyli przerzutnikami. Zostanie przedstawiona zasada działania przerzutników oraz sposoby

Bardziej szczegółowo

Laboratorium przedmiotu Technika Cyfrowa

Laboratorium przedmiotu Technika Cyfrowa Laboratorium przedmiotu Technika Cyfrowa ćw.3 i 4: Asynchroniczne i synchroniczne automaty sekwencyjne 1. Implementacja asynchronicznych i synchronicznych maszyn stanu w języku VERILOG: Maszyny stanu w

Bardziej szczegółowo

UKŁAD SCALONY. Cyfrowe układy można podzielić ze względu na różne kryteria, na przykład sposób przetwarzania informacji, technologię wykonania.

UKŁAD SCALONY. Cyfrowe układy można podzielić ze względu na różne kryteria, na przykład sposób przetwarzania informacji, technologię wykonania. UKŁDAY CYFROWE Układy cyfrowe są w praktyce realizowane różnymi technikami. W prostych urządzeniach automatyki powszechnie stosowane są układy elektryczne, wykorzystujące przekaźniki jako podstawowe elementy

Bardziej szczegółowo

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne

Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Wstęp do Techniki Cyfrowej... Synchroniczne układy sekwencyjne Schemat ogólny X Y Układ kombinacyjny S Z Pamięć Zegar Działanie układu Zmiany wartości wektora S możliwe tylko w dyskretnych chwilach czasowych

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Forum Młodych. OPOLCHESS robot do gry w szachy (1) Oprogramowanie FXChess XF. Potyczki z robotem. Algorytmy i reprezentacja danych.

Forum Młodych. OPOLCHESS robot do gry w szachy (1) Oprogramowanie FXChess XF. Potyczki z robotem. Algorytmy i reprezentacja danych. Pomiary Automatyka Robotyka 3/200 OPOLCHESS robot do gry w szachy () Oprogramowanie FXChess XF Krzysztof Gawlik, Radosław Gruszka, Krzysztof Galeczka, Marcin Hnatiuk, Marcin Kupczyk, Michał Tomczewski,

Bardziej szczegółowo

ZL10PLD. Moduł dippld z układem XC3S200

ZL10PLD. Moduł dippld z układem XC3S200 ZL10PLD Moduł dippld z układem XC3S200 Moduły dippld opracowano z myślą o ułatwieniu powszechnego stosowania układów FPGA z rodziny Spartan 3 przez konstruktorów, którzy nie mogą lub nie chcą inwestować

Bardziej szczegółowo

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu f wy f P Podzielnik częstotliwości: układ, który na każde p impulsów na wejściu daje

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA

TECHNIKA MIKROPROCESOROWA LABORATORIUM TECHNIKA MIKROPROCESOROWA Port transmisji szeregowej USART MCS'51 Opracował: Tomasz Miłosławski 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się ze sposobami komunikacji mikrokontrolera

Bardziej szczegółowo

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek Programowalne Układy Logiczne Wykład I dr inż. Paweł Russek Literatura www.actel.com www.altera.com www.xilinx.com www.latticesemi.com Field Programmable Gate Arrays J.V. Oldfield, R.C. Dorf Field Programable

Bardziej szczegółowo

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D

Podstawy Elektroniki dla Elektrotechniki. Liczniki synchroniczne na przerzutnikach typu D AGH Katedra Elektroniki Podstawy Elektroniki dla Elektrotechniki Liczniki synchroniczne na przerzutnikach typu D Ćwiczenie 7 Instrukcja do ćwiczeń symulacyjnych 2016 r. 1 1. Wstęp Celem ćwiczenia jest

Bardziej szczegółowo

Podział układów cyfrowych. rkijanka

Podział układów cyfrowych. rkijanka Podział układów cyfrowych rkijanka W zależności od przyjętego kryterium możemy wyróżnić kilka sposobów podziału układów cyfrowych. Poniżej podam dwa z nich związane ze sposobem funkcjonowania układów cyfrowych

Bardziej szczegółowo

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych.

Elementy struktur cyfrowych. Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Elementy struktur cyfrowych Magistrale, układy iterowane w przestrzeni i w czasie, wprowadzanie i wyprowadzanie danych. Magistrale W układzie bank rejestrów służy do przechowywania danych. Wybór źródła

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Układy synchroniczne Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 26 października 2015 Co to jest układ sekwencyjny? W układzie sekwencyjnym,

Bardziej szczegółowo

PROGRAMOWALNE STEROWNIKI LOGICZNE

PROGRAMOWALNE STEROWNIKI LOGICZNE PROGRAMOWALNE STEROWNIKI LOGICZNE I. Wprowadzenie Klasyczna synteza kombinacyjnych i sekwencyjnych układów sterowania stosowana do automatyzacji dyskretnych procesów produkcyjnych polega na zaprojektowaniu

Bardziej szczegółowo

Politechnika Wrocławska

Politechnika Wrocławska Politechnika Wrocławska Instytut Cybernetyki Technicznej Wizualizacja Danych Sensorycznych Projekt Kompas Elektroniczny Prowadzący: dr inż. Bogdan Kreczmer Wykonali: Tomasz Salamon Paweł Chojnowski Wrocław,

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Ćw. 7: Układy sekwencyjne

Ćw. 7: Układy sekwencyjne Ćw. 7: Układy sekwencyjne Wstęp Celem ćwiczenia jest zapoznanie się z sekwencyjnymi, cyfrowymi blokami funkcjonalnymi. W ćwiczeniu w oparciu o poznane przerzutniki zbudowane zostaną następujące układy

Bardziej szczegółowo

Implementacja algorytmu szyfrującego

Implementacja algorytmu szyfrującego Warszawa 25.01.2008 Piotr Bratkowski 4T2 Przemysław Tytro 4T2 Dokumentacja projektu Układy Cyfrowe Implementacja algorytmu szyfrującego serpent w układzie FPGA 1. Cele projektu Celem projektu jest implementacja

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Kombinacyjne bloki funkcjonalne

Kombinacyjne bloki funkcjonalne Sławomir Kulesza Technika cyfrowa Kombinacyjne bloki funkcjonalne Wykład dla studentów III roku Informatyki Wersja., 5//2 Bloki cyfrowe Blok funkcjonalny to układ cyfrowy utworzony z pewnej liczby elementów

Bardziej szczegółowo

Programowalne układy logiczne

Programowalne układy logiczne Programowalne układy logiczne Przerzutniki Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 20 maja 2013 Przerzutnik synchroniczny Układ synchroniczny wyzwalany ustalonym

Bardziej szczegółowo

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej

Organizacja pamięci VRAM monitora znakowego. 1. Tryb pracy automatycznej Struktura stanowiska laboratoryjnego Na rysunku 1.1 pokazano strukturę stanowiska laboratoryjnego Z80 z interfejsem częstościomierza- czasomierz PFL 21/22. Rys.1.1. Struktura stanowiska. Interfejs częstościomierza

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Temat: Pamięci. Programowalne struktury logiczne.

Temat: Pamięci. Programowalne struktury logiczne. Temat: Pamięci. Programowalne struktury logiczne. 1. Pamięci są układami służącymi do przechowywania informacji w postaci ciągu słów bitowych. Wykonuje się jako układy o bardzo dużym stopniu scalenia w

Bardziej szczegółowo

RS485 MODBUS Module 8I8O

RS485 MODBUS Module 8I8O Wersja 2.2 12.01.2014 wyprodukowano dla Dziękujemy za wybór naszego produktu. Niniejsza instrukcja ułatwi Państwu prawidłową obsługę i poprawną eksploatację opisywanego urządzenia. Informacje zawarte w

Bardziej szczegółowo

Linia SDA służy do dwukierunkowego. przesyłania danych zawsze inicjuje master. Slave nie może zainicjować

Linia SDA służy do dwukierunkowego. przesyłania danych zawsze inicjuje master. Slave nie może zainicjować I 2 C w FPGA Wiele układów peryferyjnych stosowanych w urządzeniach cyfrowych wykorzystuje do komunikacji z otoczeniem protokół I 2 C. Także układy FPGA, użyte jako peryferyjne dla jednostek centralnych,

Bardziej szczegółowo

Architektura Systemów Komputerowych. Transmisja szeregowa danych Standardy magistral szeregowych

Architektura Systemów Komputerowych. Transmisja szeregowa danych Standardy magistral szeregowych Architektura Systemów Komputerowych Transmisja szeregowa danych Standardy magistral szeregowych 1 Transmisja szeregowa Idea transmisji szeregowej synchronicznej DOUT Rejestr przesuwny DIN CLK DIN Rejestr

Bardziej szczegółowo

AVR DRAGON. INSTRUKCJA OBSŁUGI (wersja 1.0)

AVR DRAGON. INSTRUKCJA OBSŁUGI (wersja 1.0) AVR DRAGON INSTRUKCJA OBSŁUGI (wersja 1.0) ROZDZIAŁ 1. WSTĘP... 3 ROZDZIAŁ 2. ROZPOCZĘCIE PRACY Z AVR DRAGON... 5 ROZDZIAŁ 3. PROGRAMOWANIE... 8 ROZDZIAŁ 4. DEBUGOWANIE... 10 ROZDZIAŁ 5. SCHEMATY PODŁĄCZEŃ

Bardziej szczegółowo

MIKROPROCESORY architektura i programowanie

MIKROPROCESORY architektura i programowanie Struktura portów (CISC) Port to grupa (zwykle 8) linii wejścia/wyjścia mikrokontrolera o podobnych cechach i funkcjach Większość linii we/wy może pełnić dwie lub trzy rozmaite funkcje. Struktura portu

Bardziej szczegółowo

Systemy uruchomieniowe

Systemy uruchomieniowe Systemy uruchomieniowe Przemysław ZAKRZEWSKI Systemy uruchomieniowe (1) 1 Środki wspomagające uruchamianie systemów mikroprocesorowych Symulator mikroprocesora Analizator stanów logicznych Systemy uruchomieniowe:

Bardziej szczegółowo

LEKCJA. TEMAT: Funktory logiczne.

LEKCJA. TEMAT: Funktory logiczne. TEMAT: Funktory logiczne. LEKCJA 1. Bramką logiczną (funktorem) nazywa się układ elektroniczny realizujący funkcje logiczne jednej lub wielu zmiennych. Sygnały wejściowe i wyjściowe bramki przyjmują wartość

Bardziej szczegółowo

Systemy wbudowane. Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej. Witold Kozłowski

Systemy wbudowane. Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej. Witold Kozłowski Uniwersytet Łódzki Wydział Fizyki i Informatyki Stosowanej Systemy wbudowane Witold Kozłowski Zakład Fizyki i Technologii Struktur Nanometrowych 90-236 Łódź, Pomorska 149/153 https://std2.phys.uni.lodz.pl/mikroprocesory/

Bardziej szczegółowo

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS inż. Michał HALEŃSKI Wojskowy Instytut Techniczny Uzbrojenia ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH Streszczenie: W artykule przedstawiono budowę oraz zasadę działania układów FPGA oraz

Bardziej szczegółowo

Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne...

Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne... Podstawy działania układów cyfrowych...2 Systemy liczbowe...2 Kodowanie informacji...3 Informacja cyfrowa...4 Bramki logiczne...4 Podział układów logicznych...6 Cyfrowe układy funkcjonalne...8 Rejestry...8

Bardziej szczegółowo

Układy Cyfrowe projekt. Korekcja jasności obrazów w 24-bitowym formacie BMP z użyciem funkcji gamma. Opis głównych modułów sprzętowych

Układy Cyfrowe projekt. Korekcja jasności obrazów w 24-bitowym formacie BMP z użyciem funkcji gamma. Opis głównych modułów sprzętowych Michał Leśniewski Tomasz Władziński Układy Cyfrowe projekt Korekcja jasności obrazów w 24-bitowym formacie BMP z użyciem funkcji gamma Opis głównych modułów sprzętowych Realizacja funkcji gamma entity

Bardziej szczegółowo

PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE

PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE Paweł Bogumił BRYŁA IV rok Koło Naukowe Techniki Cyfrowej Dr inŝ. Wojciech Mysiński opiekun naukowy PROGRAMMABLE DEVICES UKŁADY PROGRAMOWALNE Keywords: PAL, PLA, PLD, CPLD, FPGA, programmable device, electronic

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW

LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW POLITECHNIKA POZNAŃSKA FILIA W PILE LABORATORIUM ELEKTRONIKI I TEORII OBWODÓW numer ćwiczenia: data wykonania ćwiczenia: data oddania sprawozdania: OCENA: 6 21.11.2002 28.11.2002 tytuł ćwiczenia: wykonawcy:

Bardziej szczegółowo

Programowany układ czasowy

Programowany układ czasowy Programowany układ czasowy Zbuduj na płycie testowej ze Spartanem-3A prosty ośmiobitowy układ czasowy pracujący w trzech trybach. Zademonstruj jego działanie na ekranie oscyloskopu. Projekt z Języków Opisu

Bardziej szczegółowo

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia.

Przerzutnik ma pewną liczbę wejść i z reguły dwa wyjścia. Kilka informacji o przerzutnikach Jaki układ elektroniczny nazywa się przerzutnikiem? Przerzutnikiem bistabilnym jest nazywany układ elektroniczny, charakteryzujący się istnieniem dwóch stanów wyróżnionych

Bardziej szczegółowo

Uniwersalny asynchroniczny. UART Universal Asynchronous Receier- Transmiter

Uniwersalny asynchroniczny. UART Universal Asynchronous Receier- Transmiter UART Universal Asynchronous Receier- Transmiter Cel projektu: Zbudowanie układu transmisji znaków z komputera na wyświetlacz zamontowany na płycie Spartan-3AN, poprzez łacze RS i program TeraTerm. Laboratorium

Bardziej szczegółowo

MAGISTRALA MODBUS W SIŁOWNIKU XSM Opis sterowania

MAGISTRALA MODBUS W SIŁOWNIKU XSM Opis sterowania DTR Załącznik nr 5 MAGISTRALA MODBUS W SIŁOWNIKU XSM Opis sterowania Wydanie 2 czerwiec 2012 r. 1 Załącznik nr 5 DTR Rys.1 Rozmieszczenie złączy i mikroprzełączników na płytce modułu MODBUS 1. Zasilenie

Bardziej szczegółowo

Przemysłowy odtwarzacz plików MP3

Przemysłowy odtwarzacz plików MP3 Przemysłowy odtwarzacz plików MP3 WWW.DIGINN.EU Spis treści 1. Opis odtwarzacza MP3... 3 2. Wyprowadzenia odtwarzacza... 4 2.1 Wymiary płytki... 6 4. Tryby pracy... 8 5. Podłączanie MP3 Playera... 9 6.

Bardziej szczegółowo

4. Karta modułu Slave

4. Karta modułu Slave sygnały na magistralę. Można wyróżnić trzy typy układów scalonych takie jak bramki o otwartym kolektorze wyjściowym, bramki trójstanowe i bramki o przeciwsobnym wzmacniaczu wyjściowym. Obciążalność prądową

Bardziej szczegółowo

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań

Badanie układów średniej skali integracji - ćwiczenie Cel ćwiczenia. 2. Wykaz przyrządów i elementów: 3. Przedmiot badań adanie układów średniej skali integracji - ćwiczenie 6. Cel ćwiczenia Celem ćwiczenia jest zapoznanie się z podstawowymi układami SSI (Średniej Skali Integracji). Przed wykonaniem ćwiczenia należy zapoznać

Bardziej szczegółowo

RS485 MODBUS Module 16O

RS485 MODBUS Module 16O Wersja 1.0 14.07.2013 wyprodukowano dla Dziękujemy za wybór naszego produktu. Niniejsza instrukcja ułatwi Państwu prawidłową obsługę i poprawną eksploatację opisywanego urządzenia. Informacje zawarte w

Bardziej szczegółowo

RS485 MODBUS Module 16RO

RS485 MODBUS Module 16RO Wersja 1.0 2.12.2014 wyprodukowano dla Dziękujemy za wybór naszego produktu. Niniejsza instrukcja ułatwi Państwu prawidłową obsługę i poprawną eksploatację opisywanego urządzenia. Informacje zawarte w

Bardziej szczegółowo

RS485 MODBUS Module 16RO

RS485 MODBUS Module 16RO Wersja 1.0 2.12.2014 wyprodukowano dla Dziękujemy za wybór naszego produktu. Niniejsza instrukcja ułatwi Państwu prawidłową obsługę i poprawną eksploatację opisywanego urządzenia. Informacje zawarte w

Bardziej szczegółowo

SDM-8AO. Moduł rozszerzający 8 wyjść analogowych. wyprodukowano dla

SDM-8AO. Moduł rozszerzający 8 wyjść analogowych. wyprodukowano dla Wersja 1.0 16.05.2014 wyprodukowano dla Dziękujemy za wybór naszego produktu. Niniejsza instrukcja ułatwi Państwu prawidłową obsługę i poprawną eksploatację opisywanego urządzenia. Informacje zawarte w

Bardziej szczegółowo

Podstawowe moduły układów cyfrowych układy sekwencyjne cz.2 Projektowanie automatów. Rafał Walkowiak Wersja /2015

Podstawowe moduły układów cyfrowych układy sekwencyjne cz.2 Projektowanie automatów. Rafał Walkowiak Wersja /2015 Podstawowe moduły układów cyfrowych układy sekwencyjne cz.2 Projektowanie automatów synchronicznych Rafał Walkowiak Wersja.2 24/25 UK Funkcje wzbudzeń UK Funkcje wzbudzeń Pamieć Pamieć UK Funkcje wyjściowe

Bardziej szczegółowo

RS485 MODBUS Module 8AO

RS485 MODBUS Module 8AO Wersja 1.5 20.05.2013 wyprodukowano dla Dziękujemy za wybór naszego produktu. Niniejsza instrukcja ułatwi Państwu prawidłową obsługę i poprawną eksploatację opisywanego urządzenia. Informacje zawarte w

Bardziej szczegółowo

MiniModbus 4DO. Moduł rozszerzający 4 wyjścia cyfrowe. Wyprodukowano dla. Instrukcja użytkownika

MiniModbus 4DO. Moduł rozszerzający 4 wyjścia cyfrowe. Wyprodukowano dla. Instrukcja użytkownika Wersja 1.1 Wyprodukowano dla Dziękujemy za wybór naszego produktu. Niniejsza instrukcja ułatwi Państwu prawidłową obsługę i poprawną eksploatację opisywanego urządzenia. Informacje zawarte w niniejszej

Bardziej szczegółowo

Elektroniczny sejf hotelowy

Elektroniczny sejf hotelowy Elektroniczny sejf hotelowy Laboratorium Języków Opisu Sprzętu AGH WFiIS 1 Konstrukcja Układ zbudowany jest z: Enkodera obrotowego, Wyświetlacza dwucyfrowego, Dwóch przycisków, Czujnika otwarcia drzwi

Bardziej szczegółowo

Instrukcja do ćwiczenia : Matryca komutacyjna

Instrukcja do ćwiczenia : Matryca komutacyjna Instrukcja do ćwiczenia : Matryca komutacyjna 1. Wstęp Każdy kanał w systemach ze zwielokrotnieniem czasowym jest jednocześnie określany przez swoją współrzędną czasową T i współrzędną przestrzenną S.

Bardziej szczegółowo

Układy reprogramowalne i SoC Język VHDL (część 4)

Układy reprogramowalne i SoC Język VHDL (część 4) Język VHDL (część 4) Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez ograniczeń - zintegrowany rozwój Politechniki

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

U 2 B 1 C 1 =10nF. C 2 =10nF

U 2 B 1 C 1 =10nF. C 2 =10nF Dynamiczne badanie przerzutników - Ćwiczenie 3. el ćwiczenia Zapoznanie się z budową i działaniem przerzutnika astabilnego (multiwibratora) wykonanego w technice TTL oraz zapoznanie się z działaniem przerzutnika

Bardziej szczegółowo

Statyczne badanie przerzutników - ćwiczenie 3

Statyczne badanie przerzutników - ćwiczenie 3 Statyczne badanie przerzutników - ćwiczenie 3. Cel ćwiczenia Zapoznanie się z podstawowymi strukturami przerzutników w wersji TTL realizowanymi przy wykorzystaniu bramek logicznych NAND oraz NO. 2. Wykaz

Bardziej szczegółowo

Technika mikroprocesorowa. Konsola do gier

Technika mikroprocesorowa. Konsola do gier K r a k ó w 1 1. 0 2. 2 0 1 4 Technika mikroprocesorowa Konsola do gier W yk o n a l i : P r o w a d z ą c y: P a w e ł F l u d e r R o b e r t S i t k o D r i n ż. J a c e k O s t r o w s k i Opis projektu

Bardziej szczegółowo

Programowanie mikrokontrolerów 2.0

Programowanie mikrokontrolerów 2.0 Programowanie mikrokontrolerów 2.0 Sterowanie podczerwienią, zaawansowane tryby liczników Marcin Engel Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 8 grudnia 2016 Sterowanie podczerwienią

Bardziej szczegółowo

E-TRONIX Sterownik Uniwersalny SU 1.2

E-TRONIX Sterownik Uniwersalny SU 1.2 Obudowa. Obudowa umożliwia montaż sterownika na szynie DIN. Na panelu sterownika znajduje się wyświetlacz LCD 16x2, sygnalizacja LED stanu wejść cyfrowych (LED IN) i wyjść logicznych (LED OUT) oraz klawiatura

Bardziej szczegółowo

RS485 MODBUS Module 8AI

RS485 MODBUS Module 8AI Wersja 1.4 15.04.2013 wyprodukowano dla Dziękujemy za wybór naszego produktu. Niniejsza instrukcja ułatwi Państwu prawidłową obsługę i poprawną eksploatację opisywanego urządzenia. Informacje zawarte w

Bardziej szczegółowo

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych

1.Wprowadzenie do projektowania układów sekwencyjnych synchronicznych .Wprowadzenie do projektowania układów sekwencyjnych synchronicznych.. Przerzutniki synchroniczne Istota działania przerzutników synchronicznych polega na tym, że zmiana stanu wewnętrznego powinna nastąpić

Bardziej szczegółowo

Programowanie Układów Logicznych kod kursu: ETD6203. Szczegóły realizacji projektu indywidualnego W dr inż.

Programowanie Układów Logicznych kod kursu: ETD6203. Szczegóły realizacji projektu indywidualnego W dr inż. Programowanie Układów Logicznych kod kursu: ETD6203 Szczegóły realizacji projektu indywidualnego W1 24.02.2016 dr inż. Daniel Kopiec Projekt indywidualny TERMIN 1: Zajęcia wstępne, wprowadzenie TERMIN

Bardziej szczegółowo

1. Podstawowe wiadomości...9. 2. Możliwości sprzętowe... 17. 3. Połączenia elektryczne... 25. 4. Elementy funkcjonalne programów...

1. Podstawowe wiadomości...9. 2. Możliwości sprzętowe... 17. 3. Połączenia elektryczne... 25. 4. Elementy funkcjonalne programów... Spis treści 3 1. Podstawowe wiadomości...9 1.1. Sterowniki podstawowe wiadomości...10 1.2. Do czego służy LOGO!?...12 1.3. Czym wyróżnia się LOGO!?...12 1.4. Pierwszy program w 5 minut...13 Oświetlenie

Bardziej szczegółowo

Podstawy elektroniki cz. 2 Wykład 2

Podstawy elektroniki cz. 2 Wykład 2 Podstawy elektroniki cz. 2 Wykład 2 Elementarne prawa Trzy elementarne prawa 2 Prawo Ohma Stosunek natężenia prądu płynącego przez przewodnik do napięcia pomiędzy jego końcami jest stały R U I 3 Prawo

Bardziej szczegółowo

SYSTEM E G S MODUŁ ML/A-1m INSTRUKCJA UŻYTKOWANIA

SYSTEM E G S MODUŁ ML/A-1m INSTRUKCJA UŻYTKOWANIA SYSTEM E G S MODUŁ ML/A-1m INSTRUKCJA UŻYTKOWANIA Senel RK Warszawa SPIS TREŚCI 1. PRZEZNACZENIE str. 4 2. DANE TECHNICZNE str. 4. BUDOWA I DZIAŁANIE str. 6 4. MONTAŻ I EKSPLOATACJA str. 8 5. PRZECHOWYWANIE

Bardziej szczegółowo

Przemysłowy odtwarzacz plików MP3 SD

Przemysłowy odtwarzacz plików MP3 SD Przemysłowy odtwarzacz plików MP3 SD WWW.DIGINN.EU Spis treści 1. Opis odtwarzacza MP3... 3 2. Wyprowadzenia odtwarzacza... 4 2.1 Wymiary płytki... 6 3. Opis funkcjonalności playera MP3... 7 4. Tryby pracy...

Bardziej szczegółowo

Opis układów wykorzystanych w aplikacji

Opis układów wykorzystanych w aplikacji Opis układów wykorzystanych w aplikacji Układ 74LS164 jest rejestrem przesuwnym służącym do zamiany informacji szeregowej na równoległą. Układ, którego symbol logiczny pokazuje rysunek 1, posiada dwa wejścia

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

LICZNIKI PODZIAŁ I PARAMETRY

LICZNIKI PODZIAŁ I PARAMETRY LICZNIKI PODZIAŁ I PARAMETRY Licznik jest układem służącym do zliczania impulsów zerojedynkowych oraz zapamiętywania ich liczby. Zależnie od liczby n przerzutników wchodzących w skład licznika pojemność

Bardziej szczegółowo

1 Moduł Neuronu Cyfrowego

1 Moduł Neuronu Cyfrowego 1 Moduł Neuronu Cyfrowego Moduł Neuronu Cyfrowego daje użytkownikowi Systemu Vision możliwość obsługi fizycznych Neuronów Cyfrowych. Dzięki temu możliwe jest sterowanie zewnętrznymi urządzeniami wykonawczymi

Bardziej szczegółowo

Projektowanie hierarchiczne Mariusz Rawski

Projektowanie hierarchiczne Mariusz Rawski CAD Projektowanie hierarchiczne rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ Zamek elektroniczny: Elektroniczny zamek kod 4 cyfrowy kod wprowadzony z klawiatury ready sygnalizacja gotowości

Bardziej szczegółowo

RS485 MODBUS Module 8AI

RS485 MODBUS Module 8AI Wersja 1.4 15.04.2013 wyprodukowano dla Dziękujemy za wybór naszego produktu. Niniejsza instrukcja ułatwi Państwu prawidłową obsługę i poprawną eksploatację opisywanego urządzenia. Informacje zawarte w

Bardziej szczegółowo

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Pierwszy projekt w środowisku ISE Design Suite Xilinx 1. Zapoznanie ze środowiskiem Xilinx ISE Design oraz językiem opisu sprzętu

Bardziej szczegółowo

IIPW_SML3_680 (Z80) przewodnik do ćwiczeń laboratoryjnych

IIPW_SML3_680 (Z80) przewodnik do ćwiczeń laboratoryjnych IIPW_SML3_680 (Z80) przewodnik do ćwiczeń laboratoryjnych wrzesieo 2010 UWAGA: Moduł jest zasilany napięciem do 3.3V i nie może współpracowad z wyjściami układów zasilanych z wyższych napięd. Do pracy

Bardziej szczegółowo

ARS3-MODEM dokumentacja modemu radiowego do lokalnej transmisji danych w wolnych pasmach 433MHz i 868MHz

ARS3-MODEM dokumentacja modemu radiowego do lokalnej transmisji danych w wolnych pasmach 433MHz i 868MHz ARS3-MODEM dokumentacja modemu radiowego do lokalnej transmisji danych w wolnych pasmach 433MHz i 868MHz dokument DOK 04-05-12 wersja 1.0 arskam.com www.arskam.com 1 firma ARIES Warszawa Polska 1. Zastosowania

Bardziej szczegółowo

Układy sekwencyjne przerzutniki 2/18. Przerzutnikiem nazywamy elementarny układ sekwencyjny, wyposaŝony w n wejść informacyjnych (x 1.

Układy sekwencyjne przerzutniki 2/18. Przerzutnikiem nazywamy elementarny układ sekwencyjny, wyposaŝony w n wejść informacyjnych (x 1. Przerzutniki Układy sekwencyjne przerzutniki 2/18 Pojęcie przerzutnika Przerzutnikiem nazywamy elementarny układ sekwencyjny, wyposaŝony w n wejść informacyjnych (x 1... x n ), 1-bitową pamięć oraz 1 wyjście

Bardziej szczegółowo

Szkolenia specjalistyczne

Szkolenia specjalistyczne Szkolenia specjalistyczne AGENDA Język VHDL w implementacji układów cyfrowych w FPGA/CPLD poziom podstawowy GRYFTEC Embedded Systems ul. Niedziałkowskiego 24 71-410 Szczecin info@gryftec.com Szczecin 2014

Bardziej szczegółowo

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10. Projekt z przedmiotu Systemy akwizycji i przesyłania informacji Temat pracy: Licznik binarny zliczający do 10. Andrzej Kuś Aleksander Matusz Prowadzący: dr inż. Adam Stadler Układy cyfrowe przetwarzają

Bardziej szczegółowo

Szczegółowy opis przedmiotu zamówienia. Część 1 - Laboratoryjny zestaw prototypowy

Szczegółowy opis przedmiotu zamówienia. Część 1 - Laboratoryjny zestaw prototypowy Załącznik nr 6 do SIWZ Szczegółowy opis przedmiotu zamówienia Ilość: 3 sztuki (kpl.) CPV 38434000-6 analizatory Część 1 - Laboratoryjny zestaw prototypowy Parametry urządzenia: Zintegrowany oscyloskop:

Bardziej szczegółowo

Kod produktu: MP01611-ZK

Kod produktu: MP01611-ZK ZAMEK BEZSTYKOWY RFID ZE ZINTEGROWANĄ ANTENĄ, WYJŚCIE RS232 (TTL) Moduł stanowi gotowy do zastosowania bezstykowy zamek pracujący w technologii RFID dla transponderów UNIQUE 125kHz, zastępujący z powodzeniem

Bardziej szczegółowo

Projektowanie złożonych układów cyfrowych

Projektowanie złożonych układów cyfrowych rojektowanie złożonych układów cyfrowych Mariusz Rawski rawski@tele.pw.edu.pl www.zpt.tele.pw.edu.pl/~rawski/ Mariusz Rawski 1 Zamek elektroniczny: Elektroniczny zamek kod 4 cyfrowy kod wprowadzony z klawiatury

Bardziej szczegółowo