Układy obliczeniowe. na nowocześniejszy (gęstsze upakowanie

Wielkość: px
Rozpocząć pokaz od strony:

Download "Układy obliczeniowe. na nowocześniejszy (gęstsze upakowanie"

Transkrypt

1 SPIS TREŚCI Dossier Procesory jutra 58 Test porównawczy Sieciowe odtwarzacze multimedialne 64 Zdjęcia na LCD 72 Testy 76 Top Pytania, opinie i sugestie: pcwlab@idg.com.pl Prezent na ostatnią chwilę Święta tuż tuż, a my gwarantujemy, że wśród testowanych przez nas produktów znajdziesz doskonałe propozycje na gwiazdkowe prezenty. Po raz pierwszy w historii przeprowadziliśmy porównawcze testy urządzeń z dwóch ciekawych kategorii ramek cyfrowych oraz multimedialnych odtwarzaczy sieciowych. Prezent w postaci ramki cyfrowej, na której zdjęcia zmieniają się same, a dodatkowo na niewielkim ekranie LCD jest podręczny kalendarz, spodoba się każdemu, choćby z technologicznymi gadżetami nie był za pan brat. Druga kategoria skupia produkty bardziej zaawansowane. Sieciowe odtwarzacze multimedialne mają gigantyczne możliwości, o których wielu z was z pewnością marzy, nie wiedząc, że realizacja marzeń jest na wyciągnięcie ręki. Niewielkie skrzyneczki stawiane obok telewizora potrafią odtwarzać filmy, zdjęcia i muzykę z plików praktycznie dowolnego formatu. Podłączone do sieci dają zupełnie nowe możliwości pobierania danych z Internetu i zasobów domowych komputerów. DVD i Bluray mogą iść w odstawkę. Juliusz Kornaszewski Układy obliczeniowe Procesory jutra Procesory z wbudowanymi układami graficznymi, kilkunastoma rdzeniami oraz sprzętowymi funkcjami szyfrowania danych to nie futurystyka, lecz nieodległa przyszłość. Od ponad dwóch lat Intel konsekwentnie wprowadza technologiczne zmiany w produkcji procesorów według modelu, który nazwał Tick-Tock, nawiązując do cyklicznego tykania zegarka, a ściślej, do dźwięku wydawanego przez poruszające się w nim wahadło. Model ten ma na celu określenie ścisłych i co najważniejsze regularnych przedziałów czasowych, w których będą wprowadzane kolejne zmiany technologiczne. Cykl Tick to przejście na nowocześniejszy (gęstsze upakowanie tranzystorów) proces wytwarzania półprzewodników, a Tock wprowadzenie nowej architektury procesorów. Pierwszym cyklem, realizowanym w 2006 roku, było wprowadzenie na rynek architektury Merom (układy o roboczych nazwach: Conroe, Allendale, Wolfdale), czyli procesorów z rodziny Core 2 Duo. Układy te, podobnie jak wcześniejsze z mobilnej serii Core Duo (nazwa robocza Yonah), z której notabene bezpośrednio wywodzi się ich konstrukcja, produkowane były w 65-nanometrowym procesie technologicznym. Kolejny Wszystkie 32-nanometrowe procesory Intela obsługują technologię współbieżnej wielowątkowości Hyper-Threading. etap to 45-nanometrowe wersje jednostek centralnych Core 2 Duo oraz Core 2 Quad o roboczej nazwie Penryn. Niedawno byliśmy świadkami 58 styczeń

2 następnego wahnięcia (Tock), czyli pojawienia się architektury Nehalem (Core i7), w znanym już 45-nanometrowym procesie technologicznym. Teraz przyszedł czas na Tick, a więc na przeniesienie nieznacznie zmodyfikowanych Nehalemów do 32-nanometrowego procesu technologicznego. Nowa architektura otrzymała roboczą nazwę Westmere. Procesor z grafiką, czyli dwa w jednym W nowych jednostkach centralnych o roboczych nazwach Gulftown (procesory serwerowe i zaawansowane stacje robocze), Arrandale (układy mobilne) i Clarkdale (CPU do komputerów stacjonarnych) pojawiły się jednak niewielkie, aczkolwiek bardzo istotne z punktu użytkownika zmiany. Najważniejsza z nich to wbudowanie w układy Arrandale i Clarkdale zintegrowanego modułu graficznego, który tym samym przeniesiony został z chipsetu płyty głównej do procesora. Sam moduł o roboczej nazwie Ironlake będzie produkowany w starszym, bo jeszcze 45-nanometrowym procesie technologicznym i jest unowocześnioną wersją dostępnych w chipsetach modułów graficznych Intel GMA X4500HD (między innymi w chipsecie P45). Całość zintegrowana została na jednej procesorowej płytce drukowanej, do której przylepiono dwa rdzenie procesorowy i graficzny. Oznacza to, że w maszynach nowej generacji wraz z wymianą procesora na nowszy i szybszy będzie można jednocześnie zwiększyć wydajność zintegrowanego układu graficznego, co nie jest możliwe wówczas, gdy znajduje się w chipsecie płyty głównej. Wszystkie 32-nanometrowe procesory Intela obsługują technologię współbieżnej wielowątkowości Hyper-Threading. Oznacza to, że np. sześciordzeniowy Gulftown może jednocześnie przetwarzać aż 12 wątków. Więcej szczegółów na ich temat oraz orientacyjne ceny i daty premier zamieściliśmy w tabeli. Istotną nowością architektury Westmere jest sprzętowe wspomaganie kodowania AES. We wszystkich wersjach tych procesorów, zarówno mobilnych, jak i stacjonarnych, dodano nowy zestaw instrukcji kodujących i dekodujących AES-NI. Pozwolą one na bieżąco szyfrować dane przesyłane przez sieć oraz informacje przechowywane na przykład na twardym dysku, co zademonstrowano na tegorocznym Intel Developer Forum na przykładzie notebooków z najnowszymi 32-nanometrowymi układami Arrandale. Dzięki wprowadzeniu rozszerzenia listy rozkazów AES-NI procesory zgodne z x86 będą już obsługiwać ponad 700 dodatkowych instrukcji. Startujemy od czterech rdzeni Co zrozumiałe, znacznie mniej jest informacji o kolejnych układach Intela o roboczych nazwach Sandy Bridge (technologia 32-nanometrowa, zmiana architektury procesora, etap Tock), Ivy Bridge, (technologia 22-nanometrowa, etap Tick) oraz Haswell (technologia 22-nanometrowa, zmiana architektury, etap Tock). Procesory te są planowane kolejno na lata 2011, 2012 i Ciekawostką jest to, że architektura Ivy Bridge ma wprowadzić do najtańszych komputerów procesory czterordzeniowe, Co oznacza rozmiar technologiczny Przy produkcji procesorów bardzo często fachowcy posługują się terminem rozmiar (wymiar) technologiczny. Jest to po prostu długość boku kwadratu, w którym mieszczą się wszystkie elementy składowe pojedynczego tranzystora planarnego, będącego elementem składowym procesora: źródło, bramka oraz dren, a ponadto przynależne do niego ścieżki, izolacje i wyprowadzenia elektryczne. Obecnie do produkcji procesorów w tzw. procesie litografii immersyjnej wykorzystuje się lasery o długości fali światła 193 nm, co pozwala obniżyć wymiar technologiczny do 32 nm. Użycie specjalnej, wielofazowej cieczy immersyjnej umożliwi, tak jak w wypadku pamięci SRAM Intela zademonstrowanej na IDF-ie, zmniejszenie go do 22 nm. Osiągnięcie 16 nm wymaga już lasera 157 nm. W produkcji półprzewodników obecnie znanymi metodami produkcji będzie można osiągnąć 11 nm. Wafel krzemowy z pamięciami SRAM wykonanymi w procesie technologicznym 22 nm. Oznacza to, że Intel jest już przygotowany do wytwarzania procesorów w podobny sposób. styczeń

3 DOSSIER a w pozostałych rynkowych segmentach na dobre zadomowią się wówczas układy sześcio- i ośmiordzeniowe. Prace nad architekturą Sandy Bridge rozpoczęły się już w 2006 roku. Z dostępnych informacji wynika, że będzie rozwinięciem architektury Nehalem. Układy te mają być produkowane w wersjach 2-, 4-, 6- i 8-rdzeniowych, najszybsze powinny być taktowane z częstotliwością nieco powyżej 4 GHz, pojemność pamięci podręcznej L3 ma wynosić 2 3 MB na jeden rdzeń, całkowita zaś do 24 MB. Wiadomo, że dostęp do zgromadzonych w niej danych powinien się zamknąć w 33 taktach zegara. Ciekawe, że poszczególne rdzenie będą miały dostęp do pamięci cache L3 zorganizowany podobnie, jak w kartach graficznych ATI znajdzie się tam 256-bitowy pierścieniowy kontroler pamięci. Przypuszczalnie mogą się również pojawić procesory Sandy Bridge z pamięcią graficzną GDDR o pojemności do 512 MB, przeznaczoną do wbudowanych, tym razem już w strukturę krzemową, następców graficznego modułu Ironlake. Te GPU będą zgodne z bibliotekami graficznymi DirectX 11. Procesory z grafitu Gotowy wafel krzemowy z rdzeniami procesorów Intel Westmere. Oszczędzamy energię Cała konstrukcja Sandy Bridge a ma zostać podporządkowana maksymalnemu oszczędzaniu energii. W układach tych zaimplementowana będzie technologia Dynamic Turbo, modyfikacja techniki Turbo Boost. Pozwoli na dochodzące do około 40 procent przyśpieszenie szybkości przełączania częstotliwości, a w konsekwencji na jeszcze lepsze zarządzanie mocą obliczeniową w stosunku do zużywanej energii. Zagadką pozostaje, czy w układach tych zostaną zintegrowane funkcje mostka południowego, czyli układy ICH (I/O Controller Hub). Pewne jest natomiast wprowadzenie w architekturze Sandy Bridge rozszerzeń instrukcji SSE x86 o nazwie AVX (Advanced Vector Extensions), Wiele nadziei dotyczących zastąpienia krzemowej technologii półprzewodnikowej wiąże się z procesorami wykonanymi z grafitu, a w zasadzie jego formy dwuwymiarowej (jak kartka papieru), zwanej grafenem, a opracowanej w 2004 roku. Grafen to w istocie pojedyncza warstwa atomów węgla, które w strukturze krystalograficznej są ułożone na kształt plastrów miodu. Z takich warstw poukładanych jedna na drugiej składa się grafit, ale wydzielenie z niego grafenu było do niedawna niemożliwe, gdyż węglowe warstwy nie chciały się rozwinąć w płaską strukturę. Skręcały się w struktury zamknięte, tworząc znane wcześniej fullereny lub nanorurki. Grafen, podobnie jak fullereny i nanorurki, jest wytrzymały, ma bardzo małą rezystancję, a co za tym idzie dobrze przewodzi prąd, a nieźle ciepło. Te cechy sprawiają, że teoretycznie nadaje się doskonale do budowy bardzo szybkich układów elektronicznych, w tym procesorów. Pierwsze sukcesy w zaprzęgnięciu grafenu do budowy układów scalonych mają na swoim koncie naukowcy z IBM. W tym roku udało im się skonstruować i uruchomić tranzystory tego typu, o częstotliwości przełączania 26 GHz i wymiarze 150 nm. Co prawda, są stosunkowo duże, ale dość szybko się przełączają. Jeśli uda się zminiaturyzować ten elektroniczny element i połączyć grafenowe tranzystory w struktury logiczne, droga do skonstruowania bardzo szybkich grafenowych procesorów będzie otwarta. oficjalnie zaprezentowanych w marcu 2008 roku. Implementacja AVX przewiduje dodanie 291 rozkazów, wśród których 166 instrukcji zapożyczono Technologia Dynamic Turbo pozwoli na dochodzące do około 40 procent przyśpieszenie szybkości przełączania częstotliwości. z rozwiązań RISC. Dzięki temu przyśpieszone zostanie nie tylko przetwarzanie danych strumieniowych, ale również szybciej będą wykonywane instrukcje skalarne, czyli rozkazy dotyczące obróbki pojedynczych danych. Kierunek: 22 nanometry O ile 22-nanometrowe procesory Ivy Bridge będą udoskonaloną wersją Sandy Bridge a, o tyle o układzie Haswell wiadomo bardzo mało. Będzie prawdopodobnie pierwszym procesorem x86 z zaimplementowanymi rozkazami FMA (Fused Multiply-Add), zwanymi też instrukcjami wielokrotnej akumulacji dodawania, mnożenia i porównywania. Z rozkazami FMA można się było dotąd spotkać w procesorach sygnałowych, w których stanowią podstawową realizowaną 60 styczeń

4 UKŁADY OBLICZENIOWE SPRZĘT I OSPRZĘT przez nie operację logiczną (w skrócie: polegają na mnożeniu w jednym takcie zegara zawartości dwóch lub więcej rejestrów z dodaniem wyniku operacji do aktualnej zawartości innego rejestru). Zastosowanie rozkazów FMA w normalnych procesorach pozwoli m.in. na znaczne przyśpieszenie przetwarzania strumieni wideo lub audio, np. na ich dekodowanie lub poprawę jakości w locie bądź też na szyfrowanie danych w czasie rzeczywistym. Rozszerzone zostaną też rejestry AVX prawdopodobnie do 512 lub nawet 1024 bitów. Procesor będzie jednak w dalszym ciągu 64-bitowy, a 128-bitowe układy wejdą do produkcji prawdopodobnie dopiero w 2015 roku, a więc przy następnym Tocku. Będą produkowane już w 16-nanometrowym procesie produkcyjnym. Trudno w tej chwili mówić o wydajności i częstotliwościach zegara 22- nanometrowych procesorów Intela. Z niepotwierdzonych informacji wynika, że częstotliwość wzrośnie nieznacznie prawdopodobnie maksymalnie do 5 5,5 GHz. Wiadomo zaś, że podstawowa wersja Haswella będzie domyślnie produkowana w wersji Plan wdrożenia kolejnych wersji mobilnych procesorów rodziny Atom. ośmiordzeniowej. Całkowicie ma też zostać przeprojektowana pamięć podręczna L3, a niektórzy analitycy ryn- ku IT uważają, że w procesorach serwerowych może się pojawić nawet L4. Cała konstrukcja Sandy Bridge a ma zostać podporządkowana maksymalnemu oszczędzaniu energii. Bardzo prawdopodobne jest też znalezienie się na jednej procesorowej płytce PCB zintegrowanego z układem koprocesora wektorowego. Przyszłość Atomów Zostawmy teraz na chwilę procesory do komputerów stacjonarnych i zajmijmy się przyszłymi procesorami do netbooków, nettopów i urządzeń typu MID. Intel odsłonił już część tajemnic dotyczących Atomów nowej generacji. Zaprezentował m.in. działający dwurdzeniowy 45-nanometrowy Atom o nazwie roboczej Lincroft. Układy SoC Z roku na rok coraz wyraźniejszy staje się trend polegający na umieszczaniu na jednym kawałku krzemu coraz większej liczby modułów funkcjonalnych. Dlatego zarówno AMD, jak i Intel coraz częściej mówią o układach SoC (System on Chip). Jest nim zaprezentowany na tegorocznym IDF-ie procesor o roboczej nazwie Jasper Forest. W tym 45-nanometrowym układzie zgodnym z architekturą Nehalem na jednej krzemowej płytce oprócz jądra CPU znalazły się: trzykanałowy kontroler pamięci DDR3, kontroler PCI Express 2.0 oraz kontroler RAID. To niemal kompletna platforma systemowa, pozwalająca na budowę miniaturowego, a zarazem wydajnego peceta, umieszczona w jednym układzie scalonym! Na początku 2010 roku ta specyficzna wersja Nehalema znajdzie się w systemach embedded i co ciekawe w serwerach storage. Na przyszły rok planowana jest też produkcja przemysłowo-serwerowych kontrolerów pamięci masowej i platform Storage Bridge Bay oraz komputerów przemysłowych PC i kontrolerów systemów automatyki. Wielu producentów zapowiedziało już produkcję opartych na Jasper Foreście serwerów kasetowych (tzw. blade) i specjalnych systemów wojskowych. styczeń

5 DOSSIER Wiadomo, że inżynierowie z AMD intensywnie pracują nad architekturą AMD Fusion, czyli procesorów zintegrowanych z układami graficznymi, które mają stworzyć nową Również AMD szykuje się do wprowadzenia wkrótce 32-nanometrowej technologii produkcji prawdopodobnie pod koniec 2010 roku. Wafel krzemowy z rdzeniami graficznymi Ironlake, które zostaną zintegrowane z CPU. W jego architekturze wprowadzono podział procesora na strefy zasilania i doprowadzania sygnału zegarowego. Jak wiadomo, Lincroft jest częścią platformy mobilnej Moorestown, która dostępna będzie na rynku już w 2010 roku. Ciekawe, że procesor ten został wyposażony w zintegrowany układ graficzny, sprzętowy dekoder wideo oraz kontroler pamięci. Intel przewiduje też zastosowanie modelu Tick-Tock do układów Atom. 32-nanometrowe Atomy pojawią się najprawdopodobniej pod koniec przyszłego roku. Układy AMD Również AMD szykuje się do wprowadzenia wkrótce 32-nanometrowej technologii produkcji prawdopodobnie pod koniec 2010 roku. Niestety, ujawniło niewiele na temat swoich procesorowych planów, a informacje w Internecie często są sprzeczne. klasę układów o nazwie APU (Accelerated Processing Unit). Pierwsza generacja układów AMD Fusion będzie produkowana właśnie w 32-nanometrowym procesie technologicznym, a APU o nazwie roboczej Llano ma być przeznaczony do komputerów niskobudżetowych. Z niepotwierdzonych informacji wynika, że Llano będzie wyposażony w rdzeń klasy Phenom II o roboczej nazwie Shanghai, 4 MB pamięci podręcznej trzeciego poziomu, kontroler pamięci RAM DDR MHz oraz moduł graficzny zgodny z DirectX 11. Jednocześnie w laboratoriach AMD trwają PRZEGLĄD TECHNOLOGII 32-NANOMETROWYCH PROCESORÓW INTELA (ARCHITEKTURA WESTMERE) Nazwa robocza Gulftown Clarkdale Arrandale Przeznaczenie serwery/ zawansowane stacje robocze i komputery dla graczy komputery biurkowe komputery mobilne nd. nie dotyczy; bd. brak danych 62 styczeń Liczba rdzeni (wątki) Typ gniazda Nazwa handlowa Platforma (MHz) Częstotliwość zegara Rdzeń (GHz) Zintegrowany układ graficzny (MHz) Tryb Turbo Mode 6 (12) LGA-1366 Xeon DP/Core i ,06 nd. tak (4) 2 (2) brak obsługi technologii HT LGA (4) mpga-989 Core i5 Core i , ,33 3,20 3,06 2,93 TDP (W) tak 733 Pentium 2, Core i7 Core i , ,13 2,00 1,20 1,06 2,53 2,40 nie nie

6 UKŁADY OBLICZENIOWE SPRZĘT I OSPRZĘT też prace nad nowymi rdzeniami o roboczych nazwach Bulldozer oraz odpowiednikiem intelowskiego Atoma układem Bobcat i platformą Yukon. Granice zmniejszania CPU W 2015 roku powinna się rozpocząć seryjna produkcja układów Intela w 16-nanometrowym procesie produkcyjnym, a w 2017 układy 11-nanometrowe, których pierwsze próbki najprawdopodobniej pokazane zostaną już w 2015 roku, a więc w chwili wdrożenia technologii 16-nanometrowej. Wytwarzanie układów 11-nanometrwych jest możliwe przy wykorzystaniu technologii dzisiejszej, a 8-nanometrowa, czyli następny etap technologiczny w produkcji półprzewodników, prawdopodobnie będzie dostępna za dziesięć lat. Naukowcy przewidują, że do granicy możliwości technologii półprzewodnikowej, a więc wymiaru technologicznego rzędu 4 6 nanometrów dojdziemy w roku. Opracowanie jeszcze bardziej zaawansowanego procesu dla technologii krzemowej będzie trudne. Pojedyncze cząsteczki związków chemicznych, takich jak kwasy tłuszczowe składające się z atomów węgla, mają już długość od 2 do 4 nanometrów. Poniżej Zintegrowane interfejsy i kontrolery Komunikacja z chipsetem 2 QPI (QuickPath Interconnect) DMI (Direct Media Interface) DMI (Direct Media Interface) Zintegrowany kontroler pamięci trzykanałowy DDR MHz PCI Express dwukanałowy DDR MHz 1x16 (do obsługi grafiki) dwukanałowy DDR MHz dwukanałowy DDR MHz tych wymiarów zaczynamy operować na pojedynczych warstwach atomowych, a więc wkraczamy w sferę elektroniki molekularnej w bardzo wczesnej fazie rozwoju: tranzystorów budowanych na pojedynczych cząsteczkach i atomach. Intel założył obecnie rozwój technologii półprzewodnikowych ze skokami do wymiarów technologicznych odpowiednio 32, 22, 16, 11 i 8 nanometrów. Jednak większość specjalistów uważa (ich opinię podzielają: AMD, IBM, Motorola oraz tajwańska TSMC produkująca m.in. Pamięć cache L3 (MB) Planowana data premiery Szacowana cena hurtowa (dol.) brak 12 drugi kwartał x16 (do obsługi grafiki) Dwurdzeniowy Atom już gotowy. 4 styczeń bd MB koniec roku układy graficzne dla ATI i NVIDII), że skoków powinno być więcej, co pozwoliłoby wykorzystywać jeszcze wydajniej półprzewodniki nie przez 15, lecz przez lat. W tym czasie można by dopracować konstrukcję procesorów optycznych, molekularnych lub kwantowych. Powinny to być skoki: 32, 28, 22, 18, 16, 11, 8 nanometrów i w takich technologiach prawdopodobnie będą się pojawiać kolejne generacje procesorów konkurencji Intela. Omawiając możliwości technologii półprzewodnikowej, trzeba wspomnieć o wielkości wafli wykorzystywanych do produkcji. Obecnie większość fabryk korzysta z 300-milimetrowych o grubości 775 μm. Na takim waflu mieści się przeciętnie procesorów. Zwiększając średnicę, można wytworzyć ich więcej. Już w przyszłym roku fabryki półprzewodników mają zacząć produkcję układów scalonych na waflach o średnicy 450 mm i grubości 925 μm. Ta pozornie niewielka zmiana pozwoli każdej fabryce na ponaddwukrotne zwiększenie liczby produkowanych procesorów. Miejmy nadzieję, że dzięki temu układy będą tańsze, a rezultaty inżynierskich badań pozwolą użytkownikom cieszyć się nowymi modelami coraz lepszych i szybszych procesorów co najmniej przez lat. INFO: go.pcworld.pl/16961 Marcin Bieńkowski styczeń

RDZEŃ x86 x86 rodzina architektur (modeli programowych) procesorów firmy Intel, należących do kategorii CISC, stosowana w komputerach PC,

RDZEŃ x86 x86 rodzina architektur (modeli programowych) procesorów firmy Intel, należących do kategorii CISC, stosowana w komputerach PC, RDZEŃ x86 x86 rodzina architektur (modeli programowych) procesorów firmy Intel, należących do kategorii CISC, stosowana w komputerach PC, zapoczątkowana przez i wstecznie zgodna z 16-bitowym procesorem

Bardziej szczegółowo

Sprzęt komputerowy 2. Autor prezentacji: 1 prof. dr hab. Maria Hilczer

Sprzęt komputerowy 2. Autor prezentacji: 1 prof. dr hab. Maria Hilczer Sprzęt komputerowy 2 Autor prezentacji: 1 prof. dr hab. Maria Hilczer Budowa komputera Magistrala Procesor Pamięć Układy I/O 2 Procesor to CPU (Central Processing Unit) centralny układ elektroniczny realizujący

Bardziej szczegółowo

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O Budowa komputera Magistrala Procesor Pamięć Układy I/O 1 Procesor to CPU (Central Processing Unit) centralny układ elektroniczny realizujący przetwarzanie informacji Zmiana stanu tranzystorów wewnątrz

Bardziej szczegółowo

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O

Budowa komputera. Magistrala. Procesor Pamięć Układy I/O Budowa komputera Magistrala Procesor Pamięć Układy I/O 1 Procesor to CPU (Central Processing Unit) centralny układ elektroniczny realizujący przetwarzanie informacji Zmiana stanu tranzystorów wewnątrz

Bardziej szczegółowo

Sprzęt komputerowy 2. Autor prezentacji: 1 prof. dr hab. Maria Hilczer

Sprzęt komputerowy 2. Autor prezentacji: 1 prof. dr hab. Maria Hilczer Sprzęt komputerowy 2 Autor prezentacji: 1 prof. dr hab. Maria Hilczer Budowa komputera Magistrala Procesor Pamięć Układy I/O 2 Procesor to CPU (Central Processing Unit) centralny układ elektroniczny realizujący

Bardziej szczegółowo

PROGRAMOWANIE WSPÓŁCZESNYCH ARCHITEKTUR KOMPUTEROWYCH DR INŻ. KRZYSZTOF ROJEK

PROGRAMOWANIE WSPÓŁCZESNYCH ARCHITEKTUR KOMPUTEROWYCH DR INŻ. KRZYSZTOF ROJEK 1 PROGRAMOWANIE WSPÓŁCZESNYCH ARCHITEKTUR KOMPUTEROWYCH DR INŻ. KRZYSZTOF ROJEK POLITECHNIKA CZĘSTOCHOWSKA 2 Trendy rozwoju współczesnych procesorów Budowa procesora CPU na przykładzie Intel Kaby Lake

Bardziej szczegółowo

Architektura Systemów Komputerowych. Rozwój architektury komputerów klasy PC

Architektura Systemów Komputerowych. Rozwój architektury komputerów klasy PC Architektura Systemów Komputerowych Rozwój architektury komputerów klasy PC 1 1978: Intel 8086 29tys. tranzystorów, 16-bitowy, współpracował z koprocesorem 8087, posiadał 16-bitową szynę danych (lub ośmiobitową

Bardziej szczegółowo

Architektura komputera wg Neumana

Architektura komputera wg Neumana PROCESOR Architektura komputera wg Neumana Uproszczony schemat procesora Podstawowe elementy procesora Blok rejestrów Blok ALU Dekoder kodu rozkazowego Układ sterujący Magistrala procesora Cykl pracy procesora

Bardziej szczegółowo

PROCESOR Z ODBLOKOWANYM MNOŻNIKIEM!!! PROCESOR INTEL CORE I7 4790K LGA1150 BOX

PROCESOR Z ODBLOKOWANYM MNOŻNIKIEM!!! PROCESOR INTEL CORE I7 4790K LGA1150 BOX amigopc.pl 883-364-274 SKLEP@AMIGOPC.PL PROCESOR INTEL CORE I7-4790K QUAD CORE, 4.00GHZ, 8MB, LGA1150, 22NM, 84W, VGA, BOX CENA: 1 473,00 PLN CZAS WYSYŁKI: 24H PRODUCENT: INTEL NUMER KATALOGOWY: BX80646I74790K

Bardziej szczegółowo

SYSTEMY OPERACYJNE WYKŁAD 1 INTEGRACJA ZE SPRZĘTEM

SYSTEMY OPERACYJNE WYKŁAD 1 INTEGRACJA ZE SPRZĘTEM SYSTEMY OPERACYJNE WYKŁAD 1 INTEGRACJA ZE SPRZĘTEM Marcin Tomana marcin@tomana.net SKRÓT WYKŁADU Zastosowania systemów operacyjnych Architektury sprzętowe i mikroprocesory Integracja systemu operacyjnego

Bardziej szczegółowo

Bibliografia: pl.wikipedia.org www.intel.com. Historia i rodzaje procesorów w firmy Intel

Bibliografia: pl.wikipedia.org www.intel.com. Historia i rodzaje procesorów w firmy Intel Bibliografia: pl.wikipedia.org www.intel.com Historia i rodzaje procesorów w firmy Intel Specyfikacja Lista mikroprocesorów produkowanych przez firmę Intel 4-bitowe 4004 4040 8-bitowe x86 IA-64 8008 8080

Bardziej szczegółowo

Architektura współczesna.. Dzisiejsza architektura czołowych producentów chipsetów odbiega od klasycznego układu North and South Bridge. Największe zmiany wprowadzono na poziomie komunikacji między układami

Bardziej szczegółowo

Nowinki technologiczne procesorów

Nowinki technologiczne procesorów Elbląg 22.04.2010 Nowinki technologiczne procesorów Przygotował: Radosław Kubryń VIII semestr PDBiOU 1 Spis treści 1. Wstęp 2. Intel Hyper-Threading 3. Enhanced Intel Speed Technology 4. Intel HD Graphics

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów Wykład 12 Jan Kazimirski 1 Magistrale systemowe 2 Magistrale Magistrala medium łączące dwa lub więcej urządzeń Sygnał przesyłany magistralą może być odbierany przez wiele urządzeń

Bardziej szczegółowo

Nowinki technologiczne procesorów

Nowinki technologiczne procesorów Elbląg 22.04.2010 Nowinki technologiczne procesorów Przygotował: Radosław Kubryń VIII semestr PDBiOU 1 Spis treści 1. Wstęp 2. Intel Hyper-Threading 3. Enhanced Intel Speed Technology 4. Intel HD Graphics

Bardziej szczegółowo

Materiały dodatkowe do podręcznika Urządzenia techniki komputerowej do rozdziału 5. Płyta główna i jej składniki. Test nr 5

Materiały dodatkowe do podręcznika Urządzenia techniki komputerowej do rozdziału 5. Płyta główna i jej składniki. Test nr 5 Materiały dodatkowe do podręcznika Urządzenia techniki komputerowej do rozdziału 5. Płyta główna i jej składniki Test nr 5 Test zawiera 63 zadania związane z treścią rozdziału 5. Jest to test zamknięty,

Bardziej szczegółowo

Procesory. Schemat budowy procesora

Procesory. Schemat budowy procesora Procesory Procesor jednostka centralna (CPU Central Processing Unit) to sekwencyjne urządzenie cyfrowe którego zadaniem jest wykonywanie rozkazów i sterowanie pracą wszystkich pozostałych bloków systemu

Bardziej szczegółowo

Nowinkach technologicznych procesorów

Nowinkach technologicznych procesorów Elbląg 22.04.2010 Nowinkach technologicznych procesorów Przygotował: Radosław Kubryń VIII semestr PDBiOU 1 Spis treści 1. Wstęp 2. Intel Hyper-Threading 3. Enhanced Intel Speed Technology 4. Intel HD Graphics

Bardziej szczegółowo

Podsystem graficzny. W skład podsystemu graficznego wchodzą: karta graficzna monitor

Podsystem graficzny. W skład podsystemu graficznego wchodzą: karta graficzna monitor Plan wykładu 1. Pojęcie podsystemu graficznego i karty graficznej 2. Typy kart graficznych 3. Budowa karty graficznej: procesor graficzny (GPU), pamięć podręczna RAM, konwerter cyfrowo-analogowy (DAC),

Bardziej szczegółowo

AMD Ryzen recenzja procesora. Wpisany przez Mateusz Ponikowski Piątek, 11 Październik :47

AMD Ryzen recenzja procesora. Wpisany przez Mateusz Ponikowski Piątek, 11 Październik :47 Sprawdzamy niedrogi procesor od AMD. Składając niedrogi komputer do pracy z multimediami i okazjonalnego grania musimy zacząć od wyboru platformy i tutaj pojawia się odwieczne pytanie, Intel czy AMD? Budując

Bardziej szczegółowo

Wersje desktopowe (Kaby Lake-S)

Wersje desktopowe (Kaby Lake-S) Wprawdzie pierwsze procesory Intel Core 7-ej generacji zadebiutowały już jakiś czas temu ale coo nowego przygotował dla nas producent? Wersje desktopowe (Kaby Lake-S) Model Core i7 7700K Core i7 7700 Core

Bardziej szczegółowo

Płyty główne rodzaje. 1. Płyta główna w formacie AT

Płyty główne rodzaje. 1. Płyta główna w formacie AT Płyty główne rodzaje 1. Płyta główna w formacie AT Jest formatem płyty głównej typu serwerowego będącej następstwem płyty XT o 8-bitowej architekturze. Została stworzona w celu obsługi 16-bitowej architektury

Bardziej szczegółowo

Artur Janus GNIAZDA PROCESORÓW INTEL

Artur Janus GNIAZDA PROCESORÓW INTEL GNIAZDA PROCESORÓW INTEL Gniazdo mikroprocesora Każdy mikroprocesor musi zostać zamontowany w specjalnie przystosowanym gnieździe umieszczonym na płycie głównej. Do wymiany informacji między pamięcią operacyjną

Bardziej szczegółowo

Architektury komputerów Architektury i wydajność. Tomasz Dziubich

Architektury komputerów Architektury i wydajność. Tomasz Dziubich Architektury komputerów Architektury i wydajność Tomasz Dziubich Przetwarzanie potokowe Przetwarzanie sekwencyjne Przetwarzanie potokowe Architektura superpotokowa W przetwarzaniu potokowym podczas niektórych

Bardziej szczegółowo

Jednostka centralna. Miejsca na napędy 5,25 :CD-ROM, DVD. Miejsca na napędy 3,5 : stacja dyskietek

Jednostka centralna. Miejsca na napędy 5,25 :CD-ROM, DVD. Miejsca na napędy 3,5 : stacja dyskietek Ćwiczenia 1 Budowa komputera PC Komputer osobisty (Personal Komputer PC) komputer (stacjonarny lub przenośny) przeznaczony dla pojedynczego użytkownika do użytku domowego lub biurowego. W skład podstawowego

Bardziej szczegółowo

Budowa Mikrokomputera

Budowa Mikrokomputera Budowa Mikrokomputera Wykład z Podstaw Informatyki dla I roku BO Piotr Mika Podstawowe elementy komputera Procesor Pamięć Magistrala (2/16) Płyta główna (ang. mainboard, motherboard) płyta drukowana komputera,

Bardziej szczegółowo

Link do produktu: Specyfikacja:

Link do produktu:   Specyfikacja: Dane aktualne na dzień: 15-05-2019 13:00 Link do produktu: https://nowysklep.retropc.pl/dell-9010-i7-3770-8gb-dysk-hdd-windows-10-p-344.html DELL 9010 i7 3770 8GB Dysk HDD Windows 10 Cena Dostępność Czas

Bardziej szczegółowo

PROGRAMOWANIE WSPÓŁCZESNYCH ARCHITEKTUR KOMPUTEROWYCH DR INŻ. KRZYSZTOF ROJEK

PROGRAMOWANIE WSPÓŁCZESNYCH ARCHITEKTUR KOMPUTEROWYCH DR INŻ. KRZYSZTOF ROJEK 1 PROGRAMOWANIE WSPÓŁCZESNYCH ARCHITEKTUR KOMPUTEROWYCH DR INŻ. KRZYSZTOF ROJEK POLITECHNIKA CZĘSTOCHOWSKA 2 Część teoretyczna Informacje i wstępne wymagania Cel przedmiotu i zakres materiału Zasady wydajnego

Bardziej szczegółowo

565,00 PLN OPIS PRZEDMIOTU AMIGO AMD APU GBHD7480D amigopc.pl CENA: CZAS WYSYŁKI: 24H PRODUCENT: AMIGOPC

565,00 PLN OPIS PRZEDMIOTU AMIGO AMD APU GBHD7480D amigopc.pl CENA: CZAS WYSYŁKI: 24H PRODUCENT: AMIGOPC amigopc.pl 883-364-274 SKLEP@AMIGOPC.PL AMIGO AMD APU 4020 4GBHD7480D CENA: 565,00 PLN CZAS WYSYŁKI: 24H PRODUCENT: AMIGOPC NUMER KATALOGOWY: AMIGO APU1 RODZAJ PROCESORA: AMD APU LICZBA RDZENI PROCESORA:

Bardziej szczegółowo

20. Czy serwerownia spełnia standardowe wymagania techniczne dla takich pomieszczeń?

20. Czy serwerownia spełnia standardowe wymagania techniczne dla takich pomieszczeń? 1 z 5 2008-12-01 10:54 Część III: Infrastruktura teleinformatyczna 19. Czy w budynku urzędu gminy urządzona jest serwerownia? 20. Czy serwerownia spełnia standardowe wymagania techniczne dla takich pomieszczeń?

Bardziej szczegółowo

Komputer VIPER i x4,2ghz 8GB GTX 1050TI 4GB 1TB USB 3.0

Komputer VIPER i x4,2ghz 8GB GTX 1050TI 4GB 1TB USB 3.0 Dane aktualne na dzień: 11-01-2018 11:01 Link do produktu: http://exite.info/komputer-viper-i7-7700-4x4-2ghz-8gb-gtx-1050ti-4gb-1tb-usb-30-p-10049.html Komputer VIPER i7-7700 4x4,2ghz 8GB GTX 1050TI 4GB

Bardziej szczegółowo

Komputer stacjonarny DELL Optiplex 9010 MT (Midi Tower) Intel Core i QUAD 4 x 3,4 GHz / 8 GB / 120 GB SSD / DVD-RW / Windows 10 Professional

Komputer stacjonarny DELL Optiplex 9010 MT (Midi Tower) Intel Core i QUAD 4 x 3,4 GHz / 8 GB / 120 GB SSD / DVD-RW / Windows 10 Professional Dane aktualne na dzień: 11-05-2019 20:01 Link do produktu: https://nowysklep.retropc.pl/dell-9010-i7-3770-8gb-dysk-ssd-windows-10-p-343.html DELL 9010 i7 3770 8GB Dysk SSD Windows 10 Cena Dostępność Czas

Bardziej szczegółowo

320GB DVD 665,00 PLN OPIS PRZEDMIOTU AMIGO AMD APU 4GB HD7480D 320GB DVD amigopc.pl CENA: CZAS WYSYŁKI: 24H

320GB DVD 665,00 PLN OPIS PRZEDMIOTU AMIGO AMD APU 4GB HD7480D 320GB DVD amigopc.pl CENA: CZAS WYSYŁKI: 24H amigopc.pl 883-364-274 SKLEP@AMIGOPC.PL AMIGO AMD APU 4GB HD7480D 320GB DVD CENA: 665,00 PLN CZAS WYSYŁKI: 24H PRODUCENT: AMIGOPC NUMER KATALOGOWY: AMIGO APU2 RODZAJ PROCESORA: AMD APU LICZBA RDZENI PROCESORA:

Bardziej szczegółowo

Komputer stacjonarny DELL Optiplex 7010 MT (Midi Tower) Intel Core i QUAD 4 x 3,4 GHz / 8 GB / HDD 250 GB / DVD-RW / Windows 10 Professional

Komputer stacjonarny DELL Optiplex 7010 MT (Midi Tower) Intel Core i QUAD 4 x 3,4 GHz / 8 GB / HDD 250 GB / DVD-RW / Windows 10 Professional Dane aktualne na dzień: 07-07-2019 04:26 Link do produktu: https://nowysklep.retropc.pl/dell-7010-i7-3770-8gb-dysk-hdd-wndows-10-p-342.html DELL 7010 i7-3770 8GB Dysk HDD Wndows 10 Cena Dostępność Czas

Bardziej szczegółowo

Sprawdzian test egzaminacyjny 2 GRUPA I

Sprawdzian test egzaminacyjny 2 GRUPA I ... nazwisko i imię ucznia Sprawdzian test egzaminacyjny 2 GRUPA I 1. Na rys. 1 procesor oznaczony jest numerem A. 2 B. 3 C. 5 D. 8 2. Na rys. 1 karta rozszerzeń oznaczona jest numerem A. 1 B. 4 C. 6 D.

Bardziej szczegółowo

Komputer DELL Optiplex 9010 w obudowie MT (Midi Tower) Intel Core i QUAD 4 x 3,4 GHz / 8 GB / 500 GB / DVD-RW / Windows 7 Professional

Komputer DELL Optiplex 9010 w obudowie MT (Midi Tower) Intel Core i QUAD 4 x 3,4 GHz / 8 GB / 500 GB / DVD-RW / Windows 7 Professional Dane aktualne na dzień: 06-01-2019 13:45 Link do produktu: https://nowysklep.retropc.pl/komputer-dell-9010-tow-i5-8gb-500gb-rw-u30-w7-p-167.html Komputer DELL 9010 TOW i5 8GB 500GB RW U3.0 W7 ## Cena Dostępność

Bardziej szczegółowo

Budowa i zasada działania komputera. dr Artur Bartoszewski

Budowa i zasada działania komputera. dr Artur Bartoszewski Budowa i zasada działania komputera 1 dr Artur Bartoszewski Jednostka arytmetyczno-logiczna 2 Pojęcie systemu mikroprocesorowego Układ cyfrowy: Układy cyfrowe służą do przetwarzania informacji. Do układu

Bardziej szczegółowo

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11 Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1 Spis treúci Przedmowa... 9 Wstęp... 11 1. Komputer PC od zewnątrz... 13 1.1. Elementy zestawu komputerowego... 13 1.2.

Bardziej szczegółowo

Spis treści. 1. Wstęp Specyfikacja Testy Podsumowanie. Ocena Koniec spisu treści -

Spis treści. 1. Wstęp Specyfikacja Testy Podsumowanie. Ocena Koniec spisu treści - Spis treści 1. Wstęp... 2 2. Specyfikacja... 10 3. Testy... 12 4. Podsumowanie. Ocena.... 20 - Koniec spisu treści - 1. Wstęp Jednym z najczęściej powtarzających się tematów zeszłego 2009 roku był kryzys.

Bardziej szczegółowo

Komputer stacjonarny DELL Optiplex 7010 MT (Midi Tower) Intel Core i QUAD 4 x 3,4 GHz / 8 GB / 120 GB SSD / DVD-RW / Windows 10 Professional

Komputer stacjonarny DELL Optiplex 7010 MT (Midi Tower) Intel Core i QUAD 4 x 3,4 GHz / 8 GB / 120 GB SSD / DVD-RW / Windows 10 Professional Dane aktualne na dzień: 15-05-2019 13:00 Link do produktu: https://nowysklep.retropc.pl/dell-7010-i7-3770-8gb-dysk-ssd-windows-10-p-341.html DELL 7010 i7-3770 8GB Dysk SSD Windows 10 Cena Dostępność Czas

Bardziej szczegółowo

KOMPUTER AMD APU QUAD RADEON HD7660D AMD APU A10-5800K 8GB RAM 1TB HD7660D

KOMPUTER AMD APU QUAD RADEON HD7660D AMD APU A10-5800K 8GB RAM 1TB HD7660D amigopc.pl 883-364-274 SKLEP@AMIGOPC.PL AMIGO DO GIER AMD 4X4.2GHZ 8GB RAM HD7660D 1TB CENA: 1 135,00 PLN CZAS WYSYŁKI: 24H NUMER KATALOGOWY: AMIGO APU 8 RODZAJ PROCESORA: AMD APU LICZBA RDZENI PROCESORA:

Bardziej szczegółowo

Komputer DELL Optiplex 3020 MT (Midi Tower) Intel Core i QUAD 4 x 3,4 GHz / 8 GB / 120 GB SSD / DVD-RW / Windows 10 Professional

Komputer DELL Optiplex 3020 MT (Midi Tower) Intel Core i QUAD 4 x 3,4 GHz / 8 GB / 120 GB SSD / DVD-RW / Windows 10 Professional Dane aktualne na dzień: 29-04-2019 12:16 Link do produktu: https://nowysklep.retropc.pl/dell-3020-i7-4770-4gb-120gb-ssd-windows-10-p-345.html DELL 3020 i7-4770 4GB 120GB SSD Windows 10 Cena Dostępność

Bardziej szczegółowo

Historia Llano sięga 2006 roku, 12 październik 2011 www.pcworld.pl DOSSIER. Dwa w jednym. Nowe procesory AMD

Historia Llano sięga 2006 roku, 12 październik 2011 www.pcworld.pl DOSSIER. Dwa w jednym. Nowe procesory AMD SPRZ T SPRZĘT I OSPRZ T I OSPRZĘT DOSSIER SPIS TREŚCI Dossier Ofenywa procesorów AMD: Llano i Bulldozer 12 Test Komputer w monitorze 18 Top 10 24 Poradnik Smartfony wielki wybór małych urządzeń 34 Test

Bardziej szczegółowo

Załącznik nr 6 do SIWZ. 1. Stacja robocza 46 szt. NAZWA PRODUCENTA: NUMER PRODUKTU (part number):

Załącznik nr 6 do SIWZ. 1. Stacja robocza 46 szt. NAZWA PRODUCENTA: NUMER PRODUKTU (part number): Załącznik nr 6 do SIWZ 1. Stacja robocza 46 szt. NUMER PRODUKTU (part number): LP. Atrybut Parametr wymagany Opis parametru urządzenia 1. Procesor Min. 2-rdzeniowy, osiągający w teście PassMark CPU Mark

Bardziej szczegółowo

Wymagane parametry techniczne laptopa

Wymagane parametry techniczne laptopa OPIS PRZEDMIOTU ZAMOWIENIA LAPTOP 2W1 12 SZTUK L.p 1 Nazwa komponentu Wymagane parametry techniczne laptopa Parametry techniczne oferowanego urządzenia 2 Typ Laptop 2w1. /wskazać: model, symbol, producenta

Bardziej szczegółowo

Architektura systemów komputerowych. dr Artur Bartoszewski

Architektura systemów komputerowych. dr Artur Bartoszewski Architektura systemów komputerowych dr Artur Bartoszewski Układy otoczenia procesora (chipset) Rozwiązania sprzętowe CHIPSET Podstawą budowy płyty współczesnego komputera PC jest Chipset. Zawiera on większość

Bardziej szczegółowo

NAJWIĘKSZE AKCJE ANONIMOWYCH

NAJWIĘKSZE AKCJE ANONIMOWYCH NAJWIĘKSZE AKCJE ANONIMOWYCH Każdy haker postrzega siebie jako obrońcę wolnego Internetu, stojącego na straży swobodnego przepływu informacji i broniącego ludzkość przed mackami chciwych korporacji. Oto

Bardziej szczegółowo

PYTANIA BUDOWA KOMPUTERA kartkówki i quizy

PYTANIA BUDOWA KOMPUTERA kartkówki i quizy PYTANIA BUDOWA KOMPUTERA kartkówki i quizy OGÓLNE INFORMACJE 1. Najmniejsza jednostka pamięci przetwarzana przez komputer to: Bit Bajt Kilobajt 1 2. Jaką wartość może przyjąć jeden bit: 0 lub 1 0-12 od

Bardziej szczegółowo

Chipset i magistrala Chipset Mostek północny (ang. Northbridge) Mostek południowy (ang. Southbridge) -

Chipset i magistrala Chipset Mostek północny (ang. Northbridge) Mostek południowy (ang. Southbridge) - Chipset i magistrala Chipset - Układ ten organizuje przepływ informacji pomiędzy poszczególnymi podzespołami jednostki centralnej. Idea chipsetu narodziła się jako potrzeba zintegrowania w jednym układzie

Bardziej szczegółowo

Dydaktyka Informatyki budowa i zasady działania komputera

Dydaktyka Informatyki budowa i zasady działania komputera Dydaktyka Informatyki budowa i zasady działania komputera Instytut Matematyki Uniwersytet Gdański System komputerowy System komputerowy układ współdziałania dwóch składowych: szprzętu komputerowego oraz

Bardziej szczegółowo

Sprawdzian test egzaminacyjny GRUPA I

Sprawdzian test egzaminacyjny GRUPA I ... nazwisko i imię ucznia Sprawdzian test egzaminacyjny GRUPA I 1. Na rys. 1 procesor oznaczony jest numerem A. 2 B. 3 C. 5 D. 8 2. Na rys. 1 karta rozszerzeń oznaczona jest numerem A. 1 B. 4 C. 6 D.

Bardziej szczegółowo

Architektura systemów komputerowych Ćwiczenie 2

Architektura systemów komputerowych Ćwiczenie 2 Architektura systemów komputerowych Ćwiczenie 2 Komputer widziany oczami użytkownika Płyta główna parametry złącza i magistrale podstawki montaż Procesor ewolucja procesorów wielordzeniowość technologia

Bardziej szczegółowo

SPECYFIKACJA TECHNICZNA (minimalne parametry techniczne)

SPECYFIKACJA TECHNICZNA (minimalne parametry techniczne) Załącznik Nr 1A SPECYFIKACJA TECHNICZNA (minimalne parametry techniczne) I. Urządzenie wielofunkcyjne 1 szt. Cechy produktu Ogólne Szybkość urządzenia, tryb cz.-b. w str./min (A4) Szybkość urządzenia,

Bardziej szczegółowo

Załącznik nr 6 do SIWZ nr postępowania II.2420.1.2014.005.13.MJ Zaoferowany. sprzęt L P. Parametry techniczne

Załącznik nr 6 do SIWZ nr postępowania II.2420.1.2014.005.13.MJ Zaoferowany. sprzęt L P. Parametry techniczne L P Załącznik nr 6 do SIWZ nr postępowania II.2420.1.2014.005.13.MJ Zaoferowany Parametry techniczne Ilość sprzęt Gwaran Cena Cena Wartość Wartość (model cja jednostk % jednostkow ogółem ogółem i parametry

Bardziej szczegółowo

Bibliografia: pl.wikipedia.org Historia i rodzaje procesorów w firmy Intel

Bibliografia: pl.wikipedia.org  Historia i rodzaje procesorów w firmy Intel Bibliografia: pl.wikipedia.org www.intel.com Historia i rodzaje procesorów w firmy Intel Specyfikacja Lista mikroprocesorów produkowanych przez firmę Intel 4-bitowe 4004 4040 8-bitowe 8008 8080 8085 x86

Bardziej szczegółowo

13.Dodatkowe funkcje charakteryzujące mikroprocesory z rozszerzeniem SSE: SSE2 SSE3 SSE4 ( HD Boost )

13.Dodatkowe funkcje charakteryzujące mikroprocesory z rozszerzeniem SSE: SSE2 SSE3 SSE4 ( HD Boost ) 1. Zdefiniuj pojęcie mikroprocesora. Mikroprocesor w skrócie CPU(Central Processing Unit) centralna jednostka obliczeniowa. Jest to pojedynczy układ scalony odpowiedzialny za wykonywanie większości obliczeń

Bardziej szczegółowo

Komputer DELL Optiplex 790 w obudowie SFF (Small Form Factor)

Komputer DELL Optiplex 790 w obudowie SFF (Small Form Factor) Dane aktualne na dzień: 16-02-2019 23:16 Link do produktu: https://nowysklep.retropc.pl/komputer-stacjonarny-dell-790-sff-dc-4gb-160gb-w7-p-278.html Komputer stacjonarny DELL 790 SFF DC 4GB 160GB W7 Cena

Bardziej szczegółowo

Na płycie głównej znajduje się szereg różnych typów złączy opracowanych według określonego standardu gwarantującego że wszystkie urządzenia

Na płycie głównej znajduje się szereg różnych typów złączy opracowanych według określonego standardu gwarantującego że wszystkie urządzenia Magistrale PC Na płycie głównej znajduje się szereg różnych typów złączy opracowanych według określonego standardu gwarantującego że wszystkie urządzenia pochodzące od różnych producentów (zgodne ze standardem

Bardziej szczegółowo

GNIAZDA PROCESORÓW AMD

GNIAZDA PROCESORÓW AMD GNIAZDA PROCESORÓW AMD Co to jest gniazdo? Gniazdo to jest specjalne miejsce gdzie montuje się procesor na płycie głównej. W gnieździe znajdują się specjalne piny lub nóżki które umożliwiają wymianę informacji

Bardziej szczegółowo

1. Serwer. 2. Komputer desktop 9szt. Załącznik nr 1 do SIWZ

1. Serwer. 2. Komputer desktop 9szt. Załącznik nr 1 do SIWZ 1. Serwer Załącznik nr 1 do SIWZ Lp. Nazwa elementu, Opis wymagań parametru lub cechy 1 Obudowa RACK o wysokości max. 2U z szynami i elementami niezbędnymi do zabudowy w szafie 19" 2 Procesor Czterordzeniowy

Bardziej szczegółowo

Dane Techniczne TH ALPLAST ADS-S25

Dane Techniczne TH ALPLAST ADS-S25 Dane Techniczne komputer PC TH ALPLAST ADS-S25 Komputer ADS-S25 charakteryzuje się najwyższymi parametrami technicznymi oraz nieporównywalną niezawodnością, dzięki doświadczonej i wysoko wykwalifikowanej

Bardziej szczegółowo

Komputer DELL Optiplex 7010 w obudowie DT (Desktop Tower) Intel Pentium G x 2,9 GHz / 4 GB / 250 GB / DVD-RW / Windows 7 Professional

Komputer DELL Optiplex 7010 w obudowie DT (Desktop Tower) Intel Pentium G x 2,9 GHz / 4 GB / 250 GB / DVD-RW / Windows 7 Professional Dane aktualne na dzień: 16-12-2018 17:43 Link do produktu: https://nowysklep.retropc.pl/komputer-dell-7010-dt-dc-4gb-250gb-rw-usb30-w7-p-282.html Komputer DELL 7010 DT DC 4GB 250GB RW USB3.0 W7 Cena Dostępność

Bardziej szczegółowo

Komputer DELL Optiplex 7010 w obudowie DT (Desktop Tower) Intel Core i x 3,3 GHz / 4 GB / 500 GB / DVD / Windows 7 Professional

Komputer DELL Optiplex 7010 w obudowie DT (Desktop Tower) Intel Core i x 3,3 GHz / 4 GB / 500 GB / DVD / Windows 7 Professional Dane aktualne na dzień: 30-01-2019 20:36 Link do produktu: https://nowysklep.retropc.pl/komputer-dell-7010-dt-i3-4gb-500g-dvd-usb30-w7-p-71.html Komputer DELL 7010 DT i3 4GB 500G DVD USB3.0 W7 ## Cena

Bardziej szczegółowo

komputery dla firm Katalog komputerów przenośnych Oferta specjalnie dla biznesu

komputery dla firm Katalog komputerów przenośnych Oferta specjalnie dla biznesu komputery dla firm Katalog komputerów przenośnych Oferta specjalnie dla biznesu dokonaj atwego wyboru Komputery przenośne mają coraz więcej zastosowań w każdym biznesie, dlatego tp wprowadza do swojej

Bardziej szczegółowo

Lp. Nazwa Parametry techniczne

Lp. Nazwa Parametry techniczne Załącznik do Zaproszenia Nr sprawy 1/N/2012 Opis Przedmiotu Zamówienia Przedmiotem zamówienia jest dostawa stacjonarnych zestawów komputerowych oraz komputerów przenośnych wraz z oprogramowaniem o parametrach

Bardziej szczegółowo

Formularz cenowy Pakiet nr 2

Formularz cenowy Pakiet nr 2 ... nazwa i adres wykonawcy Załącznik r 2 Formularz cenowy Pakiet nr 2 Postępowanie prowadzone w trybie przetargu nieograniczonego nr ZP-4/09 p.n. Dostawa sprzętu komputerowego Lp. Wyszczególnienie Cena

Bardziej szczegółowo

PROJEKTOWANIE SYSTEMÓW KOMPUTEROWYCH

PROJEKTOWANIE SYSTEMÓW KOMPUTEROWYCH PROJEKTOWANIE SYSTEMÓW KOMPUTEROWYCH WYKŁAD NR 3 MAGISTRALE SYSTEMOWE I PŁYTY GŁÓWNE dr Artur Woike Budowa i zadania płyty głównej Płyta główna (Motherboard, Mainboard, MB) jest obwodem drukowanym (Printed

Bardziej szczegółowo

8. MAGISTRALE I GNIAZDA ROZSZERZEŃ. INTERFEJSY ZEWNĘTRZNE.

8. MAGISTRALE I GNIAZDA ROZSZERZEŃ. INTERFEJSY ZEWNĘTRZNE. 8. MAGISTRALE I GNIAZDA ROZSZERZEŃ. INTERFEJSY ZEWNĘTRZNE. Magistrala (ang. bus) jest ścieżką łączącą ze sobą różne komponenty w celu wymiany informacji/danych pomiędzy nimi. Inaczej mówiąc jest to zespół

Bardziej szczegółowo

Dotyczy: Procedury udzielenia zamówienia publicznego w trybie przetargu nieograniczonego na Sprzęt komputerowy i oprogramowanie.

Dotyczy: Procedury udzielenia zamówienia publicznego w trybie przetargu nieograniczonego na Sprzęt komputerowy i oprogramowanie. INSTYTUT FIZYKI POLSKIEJ AKADEMII NAUK PL - 02-668 WARSZAWA, AL. LOTNIKÓW 32/46 Tel. (48-22) 843 66 01 Fax. (48-22) 843 09 26 REGON: P-000326061, NIP: 525-000-92-75 DZPIE/001-V/2013 Warszawa, 17 wrzesień

Bardziej szczegółowo

nazwa producenta/ nr katalogowy/ okres gwarancji cena jedn.netto nazwa producenta/ nr katalogowy/ okres gwarancji cena jedn.netto

nazwa producenta/ nr katalogowy/ okres gwarancji cena jedn.netto nazwa producenta/ nr katalogowy/ okres gwarancji cena jedn.netto Załacznik nr, znak sprawy DZ-250/32/5 FORMULARZ OPISU PRZEDMIOTU ZAMÓWIENIA - FORMULARZ CENOWY producenta/ Zadanie zestaw komputerowy o parametrach podanych w załączniku nr a do siwz zestaw nr : laptop

Bardziej szczegółowo

Architektura systemów komputerowych. dr Artur Bartoszewski

Architektura systemów komputerowych. dr Artur Bartoszewski Architektura systemów komputerowych dr Artur Bartoszewski Procesor część III Podział pamięci operacyjnej Pierwsze komputery IBM PC z procesorem 8086/88 (XT) narzuciły pewien podział pamięci, kontynuowany

Bardziej szczegółowo

SYSTEMY OPERACYJNE I SIECI KOMPUTEROWE

SYSTEMY OPERACYJNE I SIECI KOMPUTEROWE SYSTEMY OPERACYJNE I SIECI KOMPUTEROWE WINDOWS 1 SO i SK/WIN 007 Tryb rzeczywisty i chroniony procesora 2 SO i SK/WIN Wszystkie 32-bitowe procesory (386 i nowsze) mogą pracować w kilku trybach. Tryby pracy

Bardziej szczegółowo

Podręcznik użytkownika PCI-x Karta przechwytująca 4xHDMI

Podręcznik użytkownika PCI-x Karta przechwytująca 4xHDMI Podręcznik użytkownika PCI-x Karta przechwytująca 4xHDMI Spis treści 1. Specyfikacja... 3 1.1 Cechy:... 3 1.2 Rozdzielczość wideo na wejściu :... 3 1.3 Zawartość opakowania... 3 1.4 Wymagania systemowe...

Bardziej szczegółowo

Budowa komputera Komputer computer computare

Budowa komputera Komputer computer computare 11. Budowa komputera Komputer (z ang. computer od łac. computare obliczać) urządzenie elektroniczne służące do przetwarzania wszelkich informacji, które da się zapisać w formie ciągu cyfr albo sygnału

Bardziej szczegółowo

Bajt (Byte) - najmniejsza adresowalna jednostka informacji pamięci komputerowej, z bitów. Oznaczana jest literą B.

Bajt (Byte) - najmniejsza adresowalna jednostka informacji pamięci komputerowej, z bitów. Oznaczana jest literą B. Jednostki informacji Bajt (Byte) - najmniejsza adresowalna jednostka informacji pamięci komputerowej, składająca się z bitów. Oznaczana jest literą B. 1 kb = 1024 B (kb - kilobajt) 1 MB = 1024 kb (MB -

Bardziej szczegółowo

Komputer DELL 3020 w obudowie Tower. Intel Core i x 3,20 GHz / 4 GB / 500 GB / DVD-RW / Windows 10 Pro

Komputer DELL 3020 w obudowie Tower. Intel Core i x 3,20 GHz / 4 GB / 500 GB / DVD-RW / Windows 10 Pro Dane aktualne na dzień: 23-02-2019 14:11 Link do produktu: https://nowysklep.retropc.pl/komputer-dell-3020-tow-i5-4x3-2ghz-4gb-500gb-w10-p-202.html Komputer DELL 3020 TOW i5 4x3,2GHz 4GB 500GB W10 Cena

Bardziej szczegółowo

Procesor na sterydach

Procesor na sterydach SPRZĘT I OSPRZĘT VADEMECUM OVERCLOCKERA Zwiększanie wydajności CPU Procesor na sterydach Podkręcanie procesorów to często bardzo tani lub nawet zupełnie bezpłatny sposób na zwiększenie ich wydajności.

Bardziej szczegółowo

Larrabee GPGPU. Zastosowanie, wydajność i porównanie z innymi układami

Larrabee GPGPU. Zastosowanie, wydajność i porównanie z innymi układami Larrabee GPGPU Zastosowanie, wydajność i porównanie z innymi układami Larrabee a inne GPU Różnią się w trzech podstawowych aspektach: Larrabee a inne GPU Różnią się w trzech podstawowych aspektach: Larrabee

Bardziej szczegółowo

I. Architektura chipsetu

I. Architektura chipsetu I. Architektura chipsetu Chipset jest najważniejszym elementem płyty głównej, odpowiedzialnym za komunikację między mikroprocesorem a pozostałymi komponentami. Od możliwości chipsetu w dużej mierze zależą

Bardziej szczegółowo

Komputer HP 8200 w obudowie SFF (Small Form Factor) Core i QUAD 4 x 3,1 GHz / 4 GB / 160 GB SSD / DVD / Windows 7 Professional

Komputer HP 8200 w obudowie SFF (Small Form Factor) Core i QUAD 4 x 3,1 GHz / 4 GB / 160 GB SSD / DVD / Windows 7 Professional Dane aktualne na dzień: 29-03-2019 23:09 Link do produktu: https://nowysklep.retropc.pl/komputer-hp-8200-sff-core-i5-quad-4gb-160gb-ssd-w7-p-186.html Komputer HP 8200 SFF Corei5 QUAD 4GB 160GB SSD W7 Cena

Bardziej szczegółowo

Dell Inspiron 560/570: Szczegółowe dane techniczne

Dell Inspiron 560/570: Szczegółowe dane techniczne Dell Inspiron 560/570: Szczegółowe dane techniczne W tym dokumencie przedstawiono informacje, które mogą być przydatne podczas konfigurowania lub modernizowania komputera oraz aktualizowania sterowników.

Bardziej szczegółowo

Min. 256 GB, dysk półprzewodnikowy SSD, złącze M.2.

Min. 256 GB, dysk półprzewodnikowy SSD, złącze M.2. Załącznik nr 1A do SIWZ OPIS PRZEDMIOTU ZAMÓWIENIA Dostawa sprzętu komputerowego i oprogramowania na potrzeby Krakowskiego Biura Festiwalowego Część 1 zamówienia Dostawa zestawów komputerów mobilnych oraz

Bardziej szczegółowo

Asus prezentuje nowe produkty z serii ROG. pawel100g, 07 styczeń 2011, 17:31

Asus prezentuje nowe produkty z serii ROG. pawel100g, 07 styczeń 2011, 17:31 Asus prezentuje nowe produkty z serii ROG pawel100g, 07 styczeń 2011, 17:31 Firma ASUS od wielu lat aktywnie rozwija sprzęt przeznaczony dla graczy. Tworząc markę Republic of Gamers (ROG), zapewniła entuzjastom

Bardziej szczegółowo

Komputer Dell 790 w obudowie DT (Desktop Tower) Intel Pentium Dual-Core G620 2 x 2,6 GHz / 4 GB / 250 GB / DVD-RW / Windows 7 Professional

Komputer Dell 790 w obudowie DT (Desktop Tower) Intel Pentium Dual-Core G620 2 x 2,6 GHz / 4 GB / 250 GB / DVD-RW / Windows 7 Professional Dane aktualne na dzień: 16-02-2019 23:16 Link do produktu: https://nowysklep.retropc.pl/komputer-stacjonarny-dell-790-dt-dual-4gb-250gb-w7-p-279.html Komputer stacjonarny DELL 790 DT DUAL 4GB 250GB W7

Bardziej szczegółowo

WPROWADZENIE Mikrosterownik mikrokontrolery

WPROWADZENIE Mikrosterownik mikrokontrolery WPROWADZENIE Mikrosterownik (cyfrowy) jest to moduł elektroniczny zawierający wszystkie środki niezbędne do realizacji wymaganych procedur sterowania przy pomocy metod komputerowych. Platformy budowy mikrosterowników:

Bardziej szczegółowo

KOMPUTER AMIGO INTEL I3 HD GRAPHIC CORE I3 4170 4GB DDR3 HD4400 320GB DVD

KOMPUTER AMIGO INTEL I3 HD GRAPHIC CORE I3 4170 4GB DDR3 HD4400 320GB DVD amigopc.pl 883-364-274 SKLEP@AMIGOPC.PL AMIGO CORE I3-4170 4GB HD4400 320GB CENA: 1 085,00 PLN CZAS WYSYŁKI: 24H PRODUCENT: AMIGOPC NUMER KATALOGOWY: AMIGO I31 RODZAJ PROCESORA: CORE I3 LICZBA RDZENI PROCESORA:

Bardziej szczegółowo

Komputer IBM PC niezależnie od modelu składa się z: Jednostki centralnej czyli właściwego komputera Monitora Klawiatury

Komputer IBM PC niezależnie od modelu składa się z: Jednostki centralnej czyli właściwego komputera Monitora Klawiatury 1976 r. Apple PC Personal Computer 1981 r. pierwszy IBM PC Komputer jest wart tyle, ile wart jest człowiek, który go wykorzystuje... Hardware sprzęt Software oprogramowanie Komputer IBM PC niezależnie

Bardziej szczegółowo

Formularz cenowy Pakiet nr 4. Zestawienie parametrów technicznych oferowanego sprzętu

Formularz cenowy Pakiet nr 4. Zestawienie parametrów technicznych oferowanego sprzętu ... nazwa i adres wykonawcy Załącznik r 4 Formularz cenowy Pakiet nr 4 Postępowanie prowadzone w trybie przetargu nieograniczonego nr ZP-4/09 p.n. Dostawa sprzętu komputerowego Lp. Wyszczególnienie Cena

Bardziej szczegółowo

Spis treści. Realitynet.pl - przystępnie o komputerach

Spis treści. Realitynet.pl - przystępnie o komputerach Spis treści 1. Wstęp... 2 2. Mikroarchitektura Sandy Bridge... 3 3. Turbo Boost 2.0... 7 4. Nowe chipsety: P67 i H67... 9 5. Platforma testowa... 11 6. Sposób testowania.... 14 7. Podkręcanie. Pobór mocy

Bardziej szczegółowo

Budowa komputera KROK PO KROKU! Opis wszystkich części komputera w sposób zrozumiały dla nowatorów

Budowa komputera KROK PO KROKU! Opis wszystkich części komputera w sposób zrozumiały dla nowatorów Budowa komputera KROK PO KROKU! Opis wszystkich części komputera w sposób zrozumiały dla nowatorów Poszczególne podzespoły komputera 1. Monitor 2. Płyta główna 3. Procesor 4. Gniazda kontrolerów dysków

Bardziej szczegółowo

OFERTA. Załącznik nr 1 do zapytania ofertowego: Wzór oferty. Dane oferenta. Pełna nazwa oferenta: Adres:. REGON:.. Tel./fax.: .

OFERTA. Załącznik nr 1 do zapytania ofertowego: Wzór oferty. Dane oferenta. Pełna nazwa oferenta: Adres:. REGON:.. Tel./fax.:  . Załącznik nr 1 do zapytania ofertowego: Wzór oferty (miejscowość, data) OFERTA Dane oferenta Pełna nazwa oferenta:. Adres:. NIP: REGON:.. Tel./fax.: e-mail:. W odpowiedzi na upublicznione przez Info-Projekt

Bardziej szczegółowo

T2: Budowa komputera PC. dr inż. Stanisław Wszelak

T2: Budowa komputera PC. dr inż. Stanisław Wszelak T2: Budowa komputera PC dr inż. Stanisław Wszelak Ogólny schemat płyty Interfejsy wejścia-wyjścia PS2 COM AGP PCI PCI ex USB PS/2 port komunikacyjny opracowany przez firmę IBM. Jest on odmianą portu szeregowego

Bardziej szczegółowo

1. Budowa komputera schemat ogólny.

1. Budowa komputera schemat ogólny. komputer budowa 1. Budowa komputera schemat ogólny. Ogólny schemat budowy komputera - Klawiatura - Mysz - Skaner - Aparat i kamera cyfrowa - Modem - Karta sieciowa Urządzenia wejściowe Pamięć operacyjna

Bardziej szczegółowo

Test wiedzy z UTK. Dział 1 Budowa i obsługa komputera

Test wiedzy z UTK. Dział 1 Budowa i obsługa komputera Test wiedzy z UTK Dział 1 Budowa i obsługa komputera Pytanie 1 Który z elementów nie jest niezbędny do pracy z komputerem? A. Monitor B. Klawiatura C. Jednostka centralna D. Drukarka Uzasadnienie : Jednostka

Bardziej szczegółowo

Technika mikroprocesorowa. Linia rozwojowa procesorów firmy Intel w latach

Technika mikroprocesorowa. Linia rozwojowa procesorów firmy Intel w latach mikrokontrolery mikroprocesory Technika mikroprocesorowa Linia rozwojowa procesorów firmy Intel w latach 1970-2000 W krótkim pionierskim okresie firma Intel produkowała tylko mikroprocesory. W okresie

Bardziej szczegółowo

1. Komputer przenośny nr 1 9 szt.

1. Komputer przenośny nr 1 9 szt. ZADANIE NR 1 Dostawa komputerów przenośnych (wspólny słownik zamówienia CPV: 30213000-5, 30213100-6, 30213300-8) 1. Komputer przenośny nr 1 9 szt. Jeden procesor dwurdzeniowy o wyniku testu Pasmark CPU

Bardziej szczegółowo

Architektura systemów komputerowych. dr Artur Bartoszewski

Architektura systemów komputerowych. dr Artur Bartoszewski Architektura systemów komputerowych dr Artur Bartoszewski Procesor część II Rejestry procesora dostępne programowo A B D H PC SP F C E L A Akumulator Zawiera jeden z operandów działania i do niego przekazywany

Bardziej szczegółowo

CZYM JEST KARTA GRAFICZNA.

CZYM JEST KARTA GRAFICZNA. Karty Graficzne CZYM JEST KARTA GRAFICZNA. Karta graficzna jest kartą rozszerzeń, umiejscawianą na płycie głównej poprzez gniazdo PCI lub AGP, która odpowiada w komputerze za obraz wyświetlany przez monitor.

Bardziej szczegółowo

Pamięci. Pamięci DDR DIMM SDR SDRAM

Pamięci. Pamięci DDR DIMM SDR SDRAM Pamięci DIMM SDR SDRAM Pamięć ta pochodzi z Optimusa 4Mx64 SDRAM. Czas występowania to lata 1997. Charakterystyczne dla niej to dwa wcięcia, z którego jedno jest bardzo blisko brzegu. Pamięci DDR Ta seria

Bardziej szczegółowo