Niew¹tpliwie uøytkownicy mikrokontrolerûw
|
|
- Ewa Czech
- 8 lat temu
- Przeglądów:
Transkrypt
1 Programowany generator P R O J PWM E w K VHDL T Y Programowany generator PWM w VHDL W sprzítowe generatory przebiegûw prostok¹tnych o zmiennym wspû³czynniku wype³nienia (PWM - Pulse Width Modulation) s¹ wyposaøone praktycznie wszystkie wspû³czesne mikrokontrolery. Nie dzieje sií tak bez przyczyny - za ich pomoc¹ moøna zmieniaê m.in. obroty silnikûw elektrycznych, jasnoúê úwiecenia øarûwek, moøna je takøe wykorzystaê jako przetworniki C/A o ca³kiem niez³ych parametrach. DziÍki zastosowaniu uniwersalnego jízyka opisu sprzítu, prezentowany w artykule projekt moøna traktowaê jak klasyczny blok IP (Intellectual Property core). Rekomendacje: polecamy projektantom uk³adûw cyfrowych, ktûrzy chc¹ efektywnie wykorzystywaê moøliwoúci nowoczesnych uk³adûw programowalnych. Niew¹tpliwie uøytkownicy mikrokontrolerûw maj¹ wygodne øycie, poniewaø generatory PWM, podobnie do wielu innych przydatnych modu³ûw i interfejsûw dostaj¹ gotowe w cenie mikrokontrolera ìz pû³kiî. Nieco gorzej wygl¹da sytuacja uøytkownikûw uk³adûw PLD, poniewaø - co jest g³ûwn¹ cech¹ tych uk³adûw - docieraj¹ one do uøytkownika w postaci wymagaj¹cej konfigurowania ich wewnítrznych zasobûw w celu realizowania dowolnych zadanych przez uøytkownika funkcji. Czy oznacza to, øe fani PLD nie mog¹ wyposaøaê swoich projektûw w UART-y, interfejsy I 2 C czy generatory PWM? Oczywiúcie nie, o czym postaram sií przekonaê CzytelnikÛw w najbliøszych Rys. 1. Trzy przebiegi o współczynniku wypełnienia: a) 90%, b) 50%, c) 20% 33
2 Rys. 2. Charakterystyka widmowa generatora sygnału prostokątnego Rys. 3. Charakterystyka widmowa sygnału na wyjściu generatora PWM po zastosowaniu filtru dolnoprzepustowego Rys. 4. Schemat filtru dolnoprzepus towego RC pierwszego rzędu (wtórnik napięciowy zalecany, lecz niekonieczny) numerach EP. Zaczynamy od modu³u, ktûry cieszy sií duøym zainteresowaniem wúrûd pisz¹cych do mnie CzytelnikÛw: programowanym generatorem PWM. Co to jest PWM? Modulacja PWM polega na modyfikowaniu szerokoúci wybranej czíúci (ì0î lub ì1î) przebiegu prostok¹tnego, ktûrego czístotliwoúê jest sta³a. Oznacza to, øe w zaleønoúci od wartoúci wspû³czynnika wype³nienia, czasy trwania ìimpulsûwî t i (zazwyczaj ì1î) i ìprzerwî pomiídzy nimi t p (zazwyczaj ì0î) zmieniaj¹ sií, przy czym spe³niana jest zaleønoúê t i + t p = T = const. (czyli czístotliwoúê generowanego przebiegu jest sta³a). WartoúÊ wspû³czynnika wype³nienia jest podawana zazwyczaj w procentach. Okreúla sií j¹ wzorem: α = (t i /T)*100 [%]. Na rys. 1 pokazano trzy przyk³adowe fragmenty przebiegûw o rûønych wspû³czynnikach wype³nienia. Jak wspomnia³em na wstípie artyku³u, generator przebiegu PWM moøna zastosowaê m.in. jako przetwornik C/A. Jak to jest moøliwe, jeúli na wyjúciu generatora wystípuje przebieg cyfrowy? OtÛø, úrednia wartoúê napiícia na wyjúciu generatora przebiegu PWM jest proporcjonalna do wartoúci wspû³czynnika wype³nienia generowanego przebiegu. Bezpoúrednie wykorzystanie sygna³u wystípuj¹cego na wyjúciu generatora PWM, na przyk³ad do odtwarzania sygna³ûw akustycznych, nie jest moøliwe z powodu wysokiego poziomu sk³adowych harmonicznych w jego widmie (jak pokazano na rys. 2). Zmniejszenie ich poziomu wymaga zastosowania prostego filtru dolnoprzepustowego, ktûrego czístotliwoúê graniczna f G (-3dB) bídzie mniejsza od Źródła w Internecie Pliki źródłowe projektu prezentowanego w artykule są dostępne na stronie internetowej EP w dziale Download>Dokumentacje. czístotliwoúci sygna³u PWM (f PWM =1/T, rys. 3). Schemat typowego filtru RC pierwszego rzídu pokazano na rys. 4. Ze wzglídu na jego prostotí (i - niestety - stosunkowo niewielk¹ skutecznoúê t³umienia niepoø¹danych harmonicznych), zalecane jest kilkukrotne zwiíkszenie ìodstípuî pomiídzy czístotliwoúci¹ graniczn¹ filtru dolnoprzepustowego a czístotliwoúci¹ f PWM tak, øeby spe³niê warunek f PWM =n*f G, przy czym n powinno mieê wartoúê co najmniej 3, a w wiíkszoúci przypadkûw (zw³aszcza podczas odtwarzania sygna³ûw audio) nawet 5. Wartoúci parametrûw elementûw RC filtru dolnoprzepustowego moøna obliczyê korzystaj¹c ze wzoru R = 1/(2*π*f G *C). Rys. 5. Ilustracja zasady działania generatora PWM Jak to zrobiê w VHDL-u? Programowany generator PWM moøna wykonaê na wiele rûønych sposobûw. Prezentowany w artykule projekt moøna zakwalifikowaê jako ìklasycznyî - jego zasadí dzia³ania zilustrowano na rys. 5. Pokazany na tym rysunku przebieg schodkowy symbolizuje zmianí stanûw na wyjúciu licznika binarnego zliczaj¹cego w cyklu modulo 2 n. Liczba zliczonych przez licznik impulsûw jest porûwnywana przez komparator z liczb¹ referencyjn¹ podan¹ przez uøytkownika. Jest ona traktowana jako wartoúê okreúlaj¹ca czas trwania (liczony w cyklach zegarowych) stanu ì1î na wyjúciu generatora PWM. Odliczanie czasu trwania ì1î na wyjúciu zaczyna sií zawsze przy stanie licznika ì0î. WartoúÊ parametru n okreúla rozdzielczoúê generowanego przebiegu, czyli - inaczej mûwi¹c - liczbí moøliwych do ustawienia wartoúci wspû³czynnika wype³nienia. Przyk³adowo, gdy n = 2, generowany przebieg PWM moøe mieê wspû³czynnik wype³nienia o jednej z wartoúci: 0 / 4, 1 / 4, 2 / 4 i 3 / 4. ZwiÍkszenie d³ugoúci licznika o jeden bit (czyli n = 3) zwiíksza dwukrotnie liczbí moøliwych nastaw: 0 / 8, 1 / 8, 2 / 8, 3 / 8, 4 / 8, 5 / 8, 6 / 8 i 7 / 8. Jak widaê, zwiíkszanie d³ugoúci licznika powoduje zwiíkszenie rozdzielczoúci programowania wspû³czynnika wype³nienia przebiegu, przy czym najczíúciej s¹ stosowane bitowe generatory PWM. Schemat blokowy generatora PWM, ktûrego opis w jízyku VHDL zaprezentujemy w dalszej czíúci artyku³u, pokazano na rys. 6. Jest on nieco bardziej rozbudowany niø wynika z dotychczasowego opisu, co zosta³o spowodowane dwoma czynnikami: 34
3 Rys. 6. Schemat blokowy generatora PWM - Ze wzglídu na chíê zapewnienia wysokiej jakoúci sygna³u PWM (brak impulsûw glitch na tym wyjúciu), jest on generowany synchronicznie, co wymaga³o zastosowania dodatkowego przerzutnika D. - Aby u³atwiê wspû³prací generatora z systemem mikroprocesorowym lub dowolnym innym urz¹dzeniem, na wejúciu danych (tam, gdzie jest wpisywana wartoúê odniesienia) zastosowano dwustopniowy rejestr latch. DziÍki temu zmiana wartoúci odniesienia powoduje zmianí wspû³czynnika wype³nienia dopiero po zakoòczeniu bieø¹cego cyklu odliczania, co z kolei powoduje, øe nie wystípuj¹ impulsy glitch na wyjúciu PWM (jak ma to na przyk³ad miejsce w niektûrych mikrokontrolerach AVR). Na list. 1 przedstawiono opis w jízyku VHDL uk³adu, ktûrego schemat blokowy pokazano na rys. 6. Rejestr pierwszego stopnia jest zapisywany sygna³em logicznym ì1î podawanym na wejúcie ld (wejúcie zewnítrznego sygna³u zapisuj¹cego) - st¹d warunek w jego opisie elsif ld = '1' then. Rejestr drugiego stopnia jest zapisywany narastaj¹cym zboczem sygna³u na wejúciu co, co zapisano jako elsif rising_edge(co) then... Sygna³ co jest wytwarzany przez synchroniczny komparator zawsze, gdy wszystkie wyjúcia licznika przyjmuj¹ stany ì1î (nastípuje przepe³nienie licznika). DziÍki temu przepisanie z rejestru pierwszego stopnia na wejúcia komparatora nowej wartoúci referencyjnej nastípuje zawsze po zakoòczeniu pe³nego cyklu zliczania wynikaj¹cego z d³ugoúci cyklu licznika. Opis licznika zastosowanego w generatorze jest niezwykle prosty, a to dziíki moøliwoúci przeci¹øenia operatora ì+î (zliczanie kolejnych impulsûw zapisano jako cnt_out <= cnt_out + 1;). Wymaga³o to zastosowania biblioteki STD_LOGIC_ARITH z pakietu IE- EE, ktûra jest dostarczana z wiíkszoúci¹ wspû³czesnych systemûw projektowych (za wyj¹tkiem MAX+Plus II). Opis komparatora wykrywaj¹cego przekroczenie wartoúci referencyjnej jest wydzielonym procesem, w wyniku syntezy ktûrego powstaje uk³ad kombinacyjny wykrywaj¹cy warunek data_int_cmp cnt_out, gdzie data_int_cmp - to wartoúê referencyjna, a cnt_out - bieø¹cy stan Narzędzia za darmo Wszystkie narzędzia programowe wykorzystane podczas przygotowywania artykułu są udostępniane przez producentów bezpłatnie (wymagana jest jedynie rejestracja i w przypadku oprogramowania firmy Altera bezpłatna aktualizacja co 6 miesięcy licencji). System Max+Plus II oraz syntezer AAS są dostępne pod adresem: altera_design/mp2_baseline/dnl baseline.jsp, System WebPack ISE jest dostępny pod adresem: Rys. 7. Widok okna edytora schematów z pakietu WebPack ISE z symbolem generatora PWM Rys. 8. Widok okna edytora schematów w Max+Plus II z symbolem generatora PWM 35
4 List. 1. Opis w języku VHDL 4 bitowego generatora PWM library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity pwm_gen is port ( data : in std_logic_vector(3 downto 0); clk, res, ld pwm : in std_logic; : out std_logic ); end pwm_gen; architecture Behavioral of pwm_gen is signal data_int_cmp : std_logic_vector(3 downto 0); signal data_int, cnt_out : std_logic_vector(3 downto 0); signal data_int2 : std_logic_vector(3 downto 0); signal res_pwm_o, q, co : std_logic; signal ld_int, pwm_cn : std_logic; -- rejestr wejsciowy pierwszego stopnia process (ld, res) data_int <= "0000"; elsif ld = '1' then data_int <= data; -- rejestr wejsciowy drugiego stopnia process (co, res) data_int_cmp <= "0000"; elsif rising_edge(co) then data_int_cmp <= data_int; -- licznik cnt_out <= "0000"; cnt_out <= cnt_out + 1; -- generowanie sygnalu przeniesienia z licznika if res = '1' or cnt_out < "1111" then co <= '0'; elsif rising_edge(clk) and cnt_out = "1111" then co <= '1'; -- komparator process (data_int_cmp, cnt_out) if cnt_out = "0000" then elsif data_int_cmp >= cnt_out then res_pwm_o <= '1'; else -- przerzutnik PWM process (clk, res, res_pwm_o) q <= '0'; q <= res_pwm_o; pwm <= q; end Behavioral; wyjúê licznika. Wprowadzenie w opisie komparatora, wydawa³oby sií zbídnego, warunku else zapobiega zsyntezowaniu na wyjúciu res_pwm_o przerzutnika podtrzymuj¹cego stan wyjúcia. Na list. 1 jest opis 4-bitowego generatora PWM. atwo oszacowaê, øe oferowana przez niego rozdzielczoúê jest niezbyt wielka i z pewnoúci¹ zbyt ma³a, aby moøna go by³o potraktowaê ìpowaønieî. Wersja taka powsta- ³a wy³¹cznie dla wygody testowania - analiza dzia³ania automatu o 16 stanach jest przecieø zdecydowanie ³atwiejsza niø na przyk³ad automatu 256-stanowego. ZwiÍkszenie rozdzielczoúci nastaw sygna³u wyjúciowego PWM jest moøliwe poprzez zwiíkszenie d³ugoúci licznika, komparatora i rejestrûw. Zmiana tych parametrûw wymaga kaødorazowo ingerencji w plik ürûd³owy projektu i zmiany przyk³adowej wartoúci ì3î w deklaracji std_logic_vector(3 downto 0) na wybran¹ liczbí. Nie jest to rozwi¹zanie wygodne, ani eleganckie i czísto prowadzi do b³ídûw uniemoøliwiaj¹cych kompilacjí projektu. W zwi¹zku z tym powsta³a alternatywna wersja projektu z list. 1, rûøni¹ca sií od pierwowzoru moøliwoúci¹ ³atwej parametryzacji. Zamieszczono j¹ na list. 2. Jak moøna zauwaøyê, w deklaracji jednostki projektowej zastosowano klauzulí generic, ktûra umoøliwia zdefiniowanie jej parametrûw ogûlnych. W prezentowanym przyk³adzie s³uøy ona do okreúlenia rozdzielczoúci generatora PWM, co uzyskano definiuj¹c sta³¹ pwm_res, ktûrej jest przypisywana wartoúê positive:= n (w przyk³adzie n = 4). Parametr n moøe byê liczb¹ ca³kowit¹ dodatni¹, co ustalono poprzez okreúlenie podtypu tej liczby jako positive (moøe przyjmowaê wartoúci od 1 do ). Przypisanie temu parametrowi ø¹danej wartoúci jest jedynym zabiegiem (poza rekompilacj¹ projektu) niezbídnym podczas zmiany rozdzielczoúci generatora PWM. Zmiana pozosta- ³ych wartoúci jest wykonywana automatycznie przez program wykonuj¹cy syntezí. Implementacja Wykorzystanie jízyka opisu VHDL zapewnia moøliwoúê wzglídnie ³atwego przenoszenia projektu pomiídzy systemami s³uø¹cymi do syntezy logicznej i implementacji w strukturach PLD rûønych producentûw. Prezentowany generator zaimplementowano w dwûch uk³adach: XC w obudowie PLCC84 oraz EPM (takøe w obudowie PLCC84). Jako narzídzia projektowe wykorzystano: - udostípniony bezp³atnie przez firmí Xilinx pakiet WebPack ISE 5.2 z niez³ym kompilatorem VHDL i zewnítrznym symulatorem ModelSim firmy Mentor Graphics, 36
5 WebPack ISE dla Windows XP Wadą najnowszej wersji pakietu WebPack ISE (5.2) jest jego kompatybilność wyłącznie z Windows XP. Użytkownicy starszych wersji Windows muszą korzystać ze starszych wersji pakietu, które charakteryzują się niewiele gorszymi możliwościami. - zestaw bezp³atnych narzídzi firmy Altera: kompletne úrodowisko projektowe Max+Plus II Baseline 10.2 oraz syntezer VHDL - Advanced Altera Synthesis (ktûry zast¹pi³ udostípniany do niedawna przez Mentor Graphics syntezer Leonardo Spectrum). Poniewaø projekt sk³ada sií z jednego pliku zawieraj¹cego opis w jízyku VHDL, konfiguracja i przygotowanie projektu do kompilacji, niezaleønie od systemu projektowego, jest bardzo proste. WebPack ISE zawiera komplet narzídzi niezbídnych do syntezy, implementacji i kompilacji projektu opisanego w VHDL. W zaleønoúci od przyjítego sposobu projektowania, uøytkownik moøe w³¹czyê plik tekstowy VHDL do struktury w³asnego projektu lub - jak s¹dzí jest wielu zwolennikûw tego sposobu projektowania - stworzyê graficzny element biblioteczny (Processing for Current Source>Design Entry Utilities>Create Schematic Symbol w Web Pack ISE 5.2) i po prostu narysowaê schemat logiczny generatora z wykorzystaniem gotowego ìbloczkaî (rys. 7). W nieco gorszej sytuacji znajduj¹ sií uøytkownicy systemu Max+Plus II, ktûry - co prawda - w wersji Student Edition udostípnia syntezer VHDL, ale jego moøliwoúci s¹ mocno ograniczone. Projektanci korzystaj¹cy z uk³adûw firmy Altera mog¹ wykorzystaê jako narzídzie projektowe nowszy system projektowy tej firmy Quartus II, ale jego popularnoúê (g³ûwnie ze wzglídu na trudniejsz¹ obs³ugí i duøe wymagania sprzítowe) jest znacznie mniejsza niø Max+Plus II. Skorzystanie z pakietu Max+Plus II jest jednak moøliwe, ale w tym List. 2. Opis parametryzowanego generatora PWM library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity pwm_gen is generic ( pwm_res : positive:= 4 -- positive oznacza ); port ( data : in std_logic_vector(pwm_res-1 downto 0); clk, res, ld pwm : in std_logic; : out std_logic ); end pwm_gen; architecture Behavioral of pwm_gen is signal data_int_cmp : std_logic_vector(pwm_res-1 downto 0); signal data_int, cnt_out : std_logic_vector(pwm_res-1 downto 0); signal data_int2 : std_logic_vector(pwm_res-1 downto 0); signal res_pwm_o, q, co : std_logic; signal ld_int, pwm_cn : std_logic; constant zero: std_logic_vector(pwm_res-1 downto 0):= (others => '0'); constant ff: std_logic_vector(pwm_res-1 downto 0):= (others => '1'); -- rejestr wejsciowy pierwszego stopnia process (ld, res) data_int <= (others => '0'); elsif ld = '1' then data_int <= data; -- rejestr wejsciowy drugiego stopnia process (co, res) data_int_cmp <= (others => '0'); elsif rising_edge(co) then data_int_cmp <= data_int; -- licznik cnt_out <= (others => '0'); -- zapis alternatywny (cnt_out'range => '0'); cnt_out <= cnt_out + 1; -- generowanie sygnalu przeniesienia z licznika if res = '1' or cnt_out < ff then co <= '0'; elsif rising_edge(clk) and cnt_out = ff then co <= '1'; -- komparator process (data_int_cmp, cnt_out) if cnt_out = zero then elsif data_int_cmp >= cnt_out then res_pwm_o <= '1'; else -- przerzutnik PWM process (clk, res, res_pwm_o) q <= '0'; q <= res_pwm_o; pwm <= q; end Behavioral; celu trzeba skorzystaê z pomocy zewnítrznego syntezera VHDL firmy Altera (bezp³atny program Advanced Synthesis), za pomoc¹ ktûrego jest tworzona lista po³¹czeò EDIF. NastÍpnie, juø za pomoc¹ Max+Plus II, z pliku tekstowego w formacie EDIF moøna utworzyê na przyk³ad symbol schematowy (rys. 8), ktûry moøna nastípnie wykorzystaê w kolejnych projektach w taki 37
6 Programowany generator PWM w Programowany VHDL generator PWM w VHDL Rys. 9. Przebiegi: zegarowy i wyjściowy dla zadanego współczynnika wypełnienia 1/16 (4 bitowy generator PWM) Rys. 10. Przebiegi: zegarowy i wyjściowy dla zadanego współczynnika wypełnienia 15/16 (4 bitowy generator PWM) sam sposûb jak pozosta³e (takøe te dostarczone wraz z systemem projektowym) elementy biblioteczne. Po implementacji prezentowanego projektu i przy za³oøeniu, øe generator PWM ma rozdzielczoúê 10-bitow¹ (czyli dla pwm_res = 10), okaza³o sií, øe w uk³adzie XC95108 (zawiera 108 makrokomûrek) wykorzystano 35 makrokomûrek, w tym 22 rejestry, a maksymalna czístotliwoúê taktowania generatora (dla optymalizacji ìpowierzchniowejî i uk³adu z sufiksem -15) wynosi 71,429 MHz (wynik nie by³ weryfikowany w praktyce). Podobne wyniki uzyskano w przypadku implementacji projektu w uk³adzie EPM7128. Wykorzystano takøe 35 makrokomûrek (spoúrûd 128 dostípnych), a maksymalna czístotliwoúê taktowania w przypadku uk³adu oznaczonego sufiksem -12 wynosi³a 73,6 MHz (wynik symulacji, nie weryfikowany w praktyce). Uzyskane efekty Podczas opracowywania projektu, wszelkie testy sprzítowe by³y prowadzone na uniwersalnym zestawie ewaluacyjnym ZL1PLD (udostípniony przez firmí BTC), ktûry dziíki specjalnej konstrukcji umoøliwia stosowanie dowolnych uk³adûw PLD. Standardowo, s¹ w nim stosowane uk³ady CPLD zasilane napiíciem 5 V. Polityka cenowa producentûw uk³adûw powoduje, øe najtaòsze s¹ uk³ady zasilane napiíciem 3,3 V z portami I/O przystosowanymi do wspû³pracy z uk³adami zasilanymi napiíciem 5 V. I tak przyk³adowo, koszt implementacji Kłopoty wynikające z niezgodności wersji Udostępniony na naszej stronie internetowej projekt dla systemu WebPack ISE 5.2 nie jest kompatybilny ze starszymi wersjami systemu projektowego. Wynika to z niezgodności formatu plików *.npl i zastosowanej przez twórców systemu projekto wego struktury plików pomocniczych. prezentowanego generatora PWM wynosi: - w uk³adzie XC w obudowie PLCC84 (zasilanie 5 V): 16,47 z³ brutto, - w uk³adzie XC95144XL-15 w obudowie TQFP100 (zasilanie 3,3 V): 8,65 z³ brutto, - w uk³adzie EPM w obudowie PLCC84 (zasilanie 5 V): 19 z³ brutto, - w uk³adzie EPM3128A-10 w obudowie TQFP100 (zasilanie 3,3 V): 14,51 z³ brutto. Zestawienie to przygotowano na podstawie cen dystrybucyjnych brutto w Polsce (zakup pojedynczych sztuk). Do zestawienia dobrano uk³ady najbardziej do siebie zbliøone pod wzglídem liczby wbudowanych makrokomûrek, poniewaø nie s¹ dostípne úcis³e odpowiedniki w wersjach zasilanych napiíciami 3,3 V oraz 5 V. Na rys. 9 i 10 pokazano przyk³adowe wyniki dzia³ania 4-bitowego generatora PWM zaimplementowanego w uk³adzie PLD. Piotr Zbysiñski, AVT piotr.zbysinski@ep.com.pl 38
Nowe układy CPLD firmy Altera
Nowe układy CPLD firmy Altera Wyúcig trwa: w marcu Altera wprowadzi³a do sprzedaøy now¹ rodziní uk³adûw O ile na rynku mikrokontrolerûw od pewnego czasu panuje marazm, to wúrûd producentûw PLD moøna zauwaøyê
Krótkie wprowadzenie do ModelSim i Quartus2
Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera
Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński
Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...
UnISProg uniwersalny programator ISP
UnISProg uniwersalny P R programator O J E K T ISP Y UnISProg uniwersalny programator ISP AVT 560 Praktycznie kaødy producent mikrokontrolerûw z pamiíci¹ Flash i wspû³czesnych uk³adûw programowalnych opracowa³
Układy programowalne, część 2
Układy programowalne, część 2 K U R S Historia uk³adûw PLD (Programmable Logic Devices) siíga koòca lat 60., kiedy to powsta³y pierwsze teoretyczne opracowania, w ktûrych wykazywano, øe jest moøliwe zbudowanie
Oscyloskop cyfrowy, kit AVT 891. Sukces w nowoczesnoúci Projektanci uk³adûw ZMD przygotowali
Oscyloskop P R O J E cyfrowy K T Y Oscyloskop cyfrowy, część 1 kit AVT 891 Niemiecka firma ZMD opracowa³a uk³ad scalony, prawdziwy prezent dla elektronikûw pasjonuj¹cych sií technik¹ cyfrow¹: jednouk³adowy
Sterownik wyświetlacza multipleksowanego w VHDL
Sterownik wyświetlacza multipleksowanego P R O J E w K VHDL T Y Sterownik wyświetlacza multipleksowanego w VHDL W artykule przedstawiamy rozwi¹zanie sterownika czterech wyúwietlaczy LED przeznaczonego
Klocki RS485, część 4
P R O Klocki J E K RS485 T Y Klocki RS485, część 4 W czwartej, przedostatniej czíúci artyku³u przedstawiamy dwie karty wejúê: cyfrowych i analogowych. DziÍki nim, system zaprezentowany w cyklu artyku³ûw
1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File
1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project
Klocki RS485, część 3
Klocki P R O RS485 J E K T Y, część 3 W trzeciej czíúci artyku³u przedstawiamy dwie karty wyjúê cyfrowych, za pomoc¹ ktûrych w systemie RS485 moøna sterowaê prac¹ urz¹dzeò zasilanych napiíciami o wartoúci
WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH
WOJSKOWA AKADEMIA TECHNICZNA im. Jarosława Dąbrowskiego LABORATORIUM UKŁADÓW PROGRAMOWALNYCH I SPECJALIZOWANYCH SPRAWOZDANIE Temat: Projekt notesu elektronicznego w języku VHDL przy użyciu układów firmy
Specyfika projektowania Mariusz Rawski
CAD Specyfika projektowania Mariusz Rawski rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ System cyfrowy pierwsze skojarzenie Urządzenia wprowadzania danych: klawiatury czytniki urządzenia przetwarzania
Samochodowy wzmacniacz 25 W w klasie D, część 1
Samochodowy wzmacniacz P R O 25 J W w E klasie K T DY Samochodowy wzmacniacz 25 W w klasie D, część 1 AVT 525 Wzmacniacze klasy D, nazywane takøe wzmacniaczami cyfrowymi, zyskuj¹ coraz wiíksz¹ popularnoúê.
Funkcje bezpieczeństwa
42 Funkcje bezpieczeństwa w systemie Teleco Michał Sikora Jednym z podstawowych zadaò systemûw automatyki budynku jest zwiíkszenie bezpieczeòstwa zarûwno osûb, jak i samego obiektu. W artykule przedstawione
Technika cyfrowa projekt: Sumator 4 bitowy równoległy
Technika cyfrowa projekt: Sumator 4 bitowy równoległy Autorzy: Paweł Bara Robert Boczek Przebieg prac projektowych: Zadany układ dostaje na wejściu dwie czterobitowe liczby naturalne, sumuje je, po czym
Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL
Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów
Układy reprogramowalne i SoC Język VHDL (część 4)
Język VHDL (część 4) Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez ograniczeń - zintegrowany rozwój Politechniki
Projektowanie automatów z użyciem VHDL
Projektowanie automatów z użyciem VHDL struktura automatu i jego modelu w VHDL przerzutnik T jako automat przykłady automatów z wyjściami typu: Moore'a Mealy stanu kodowanie stanów automatu Wykorzystano
Projektowanie hierarchiczne Mariusz Rawski
CAD Projektowanie hierarchiczne rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ Zamek elektroniczny: Elektroniczny zamek kod 4 cyfrowy kod wprowadzony z klawiatury ready sygnalizacja gotowości
generatorze funkcyjnym,
Generator P R O J funkcyjny E K T Y10MHz Generator funkcyjny 10MHz, część 1 kit AVT 360 Jest to pierwsza czíúê artyku³u poúwiíconego omûwieniu konstrukcji nowoczesnego generatora funkcyjnego z uk³adem
Projektowanie Urządzeń Cyfrowych
Projektowanie Urządzeń Cyfrowych Laboratorium 2 Przykład prostego ALU Opracował: mgr inż. Leszek Ciopiński Wstęp: Magistrale: Program MAX+plus II umożliwia tworzenie magistral. Magistrale są to grupy przewodów
Przełącznik optyczny SPDIF
Przełącznik optyczny SPDIF AVT 574 Przełącznik P R O optyczny J E K SPDIF T Y Cyfrowe przesy³anie sygna³u audio gwarantuje niezaprzeczaln¹ jakoúê düwiíku, przy duøej odpornoúci na zak³ûcenia, czego nie
Systemy Czasu Rzeczywistego FPGA
01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2
Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki
Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 7 (2h) Obsługa urządzenia peryferyjnego z użyciem pamięci w VHDL. Instrukcja do zajęć laboratoryjnych z przedmiotu
Bezprzewodowy regulator
Bezprzewodowy regulator P R O J temperatury E K T Y Bezprzewodowy regulator temperatury, część 1 AVT 5094 Powszechnie dostípne radiowe modu³y nadawczoodbiorcze umoøliwiaj¹ stosowanie ³¹czy bezprzewodowych
Artur Cichowski Paweł Szczepankowski Wojciech Śleszyński TECHNIKA CYFROWA I MIKROPROCESOROWA LABORATORIUM
Artur Cichowski Paweł Szczepankowski Wojciech Śleszyński TECHNIKA CYFROWA I MIKROPROCESOROWA LABORATORIUM Gdańsk 2011 PRZEWODNICZ CY KOMITETU REDAKCYJNEGO WYDAWNICTWA POLITECHNIKI GDA SKIEJ Romuald Szymkiewicz
kit AVT 900 Stereofoniczny tuner FM
Stereofoniczny P R O J E K tuner T YFM Stereofoniczny tuner FM kit AVT 900 Przedstawiamy dawno zapowiadany projekt stereofonicznego tunera FM. DziÍki zastosowaniu miniaturowego modu³u matchbox firmy Philips,
Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje.
Laboratorium 10 Temat: Zaawansowane jednostki testowe. Operacje na plikach. Funkcje. 1. W języku VHDL zdefiniowano mechanizm odczytywania i zapisywania danych z i do plików. Pliki te mogą być wykorzystywane
w dowolny sposûb. Prezentacja zmierzonego napiícia jest wykonywana przy uøyciu specjalizowanego
, część 1 AVT 5097 Mówiący P R O J woltomierz E K T Y Nazwa ìwoltomierzî lub ìmiernikî kojarzy nam sií zazwyczaj z przyrz¹dem wyposaøonym w wyúwietlacz, na ktûrym wyúwietlane s¹ wyniki pomiarûw. W opisanym
Systemy Czasu Rzeczywistego FPGA
01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 03 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2
Ćwiczenie 1 VHDL - Licznik 4-bitowy.
Ćwiczenie 1 VHDL - Licznik 4-bitowy. Zadaniem studenta jest zaprojektowanie w układzie CoolRunner2 układu, który dzieli częstotliwość zegara wejściowego generując sygnał taktowania licznika 4-bitowego,
Kaøda przerwa w zasilaniu stanowi
52 Gwarantowane zasilanie odbiorników energii elektrycznej Andrzej Baranecki, Tadeusz P³atek, Marek Niewiadomski Rosnπca iloúê nieliniowych odbiornikûw energii elektrycznej (komputery, sprzít RTV, regulowane
miejsca, gdzie p³ytki by³y ze sob¹
Generator funkcyjny 10MHz, część 2 kit AVT 360 Generator P R funkcyjny O J E K 10MHz T Y W drugiej czíúci artyku³u skupimy sií na montaøu i uruchomieniu generatora. Nieco miejsca poúwiícimy takøe omûwieniu
Sposoby projektowania systemów w cyfrowych
Sposoby projektowania systemów w cyfrowych Top-down Idea całości projektu Dekompozycja na mniejsze bloki Projekt i rafinacja podbloków Łączenie bloków w całość PRZYKŁAD (sumator kaskadowy) zdefiniowanie
Na ³amach Elektroniki Praktycznej (EP 10/97) zosta³ opisany generator funkcyjny, ktûry moim zdaniem jest przyrz¹dem w zasadzie
Tani P generator R O J E funkcyjny K T Y Generator funkcyjny AVT 823 Generator funkcyjny jest podstawowym wyposaøeniem laboratorium elektronicznego. Jest niezbídny podczas wykonywania wielu prac zwi¹zanych
LABORATORIUM TECHNIKA CYFROWA. Pamięci. Rev.1.35
LABORATORIUM TECHNIKA CYFROWA Pamięci Rev.1.35 1. Cel ćwiczenia Praktyczna weryfikacja wiedzy teoretycznej z projektowania modułów sterowania oraz kontroli pamięci 2. Kolokwium Kolokwium wstępne sprawdzające
Przełom na rynku narzędzi EDA
Przełom na rynku narzędzi EDA dla elektroników, część 1 W drugiej po³owie ubieg³ego roku pojawi³o sií nowe, sztandarowe narzídzie dla elektronikûw - program Protel DXP firmy Altium. Jego najnowsza wersja
Zdalnie sterowana karta przekaźników
Zdalnie sterowana P karta R O przekaźników J E K T Y Zdalnie sterowana karta przekaźników AVT 5046 Uk³ady tak uniwersalne, jak prezentowany w artykule, ciesz¹ sií wúrûd naszych CzytelnikÛw ogromnym powodzeniem.
Projekt prostego procesora
Projekt prostego procesora Opracowany przez Rafała Walkowiaka dla zajęć z PTC 2012/2013 w oparciu o Laboratory Exercise 9 Altera Corporation Rysunek 1 przedstawia schemat układu cyfrowego stanowiącego
Podstawy projektowania systemów mikroprocesorowych, część 11
Podstawy projektowania systemów mikroprocesorowych, część 11 Nawet najprostsze mikrokontrolery '51 moøna wykorzystaê do pomiaru wielkoúci analogowych (co prawda niezbyt dok³adnie) i pomiaru czasu (z tym
Programator termostatów cyfrowych firmy Dallas,
Programator termostatów P R cyfrowych O J E K DS1620 T Y Programator termostatów cyfrowych firmy Dallas, część 1 kit AVT 337 Jest to konstrukcja na pierwszy rzut oka doúê dziwna - programator termostatûw?
ZL11PRG v.2. Uniwersalny programator ISP. Odpowiednik: Byte Blaster II DLC5 Programmer AT89ISP STK-200 Lattice ISP ARM Wiggler
ZL11PRG v.2 Uniwersalny programator ISP Odpowiednik: Byte Blaster II DLC5 Programmer AT89ISP STK-200 Lattice ISP ARM Wiggler Nowoczesna konstrukcja czyni z programatora ZL11PRG v.2 urządzenie niezwykle
Radiowy system zdalnego sterowania z kanałem zwrotnym, część 1
Radiowy system zdalnego sterowania P z kanałem R O J E zwrotnym K T Y Radiowy system zdalnego sterowania z kanałem zwrotnym, część 1 AVT 517 W sk³ad prezentowanego systemu wchodzi nadajnik i odbiornik,
Zegar ze 100 letnim kalendarzem i dwukanałowym termometrem, część 1
Zegar ze 100 letnim kalendarzem i dwukanałowym P R O termometrem J E K T Y Zegar ze 100 letnim kalendarzem i dwukanałowym termometrem, część 1 AVT 513 Prezentowane w artykule urz¹dzenie jest kolejnym naszym
P Obciążenie R O J E aktywne K T Y Obciążenie aktywne część 2 kit AVT 318 W drugiej czíúci artyku³u przedstawimy wyniki pomiarûw zasilaczy, dokonanych przy pomocy uk³adu aktywnego obci¹øenia oraz moøliwoúci
Programator generatorów taktujących DS1065/75
Programator generatorów taktujących P R O J DS1065/75 E K T Y Programator generatorów taktujących DS1065/75 kit AVT 469 W artykule przedstawiamy urz¹dzenie niezbídne w nowoczesnej pracowni techniki cyfrowej
Bezprzewodowy sterownik węża świetlnego
Bezprzewodowy sterownik P R węża O J świetlnego E K T Y Bezprzewodowy sterownik węża świetlnego AVT 5077 Temat wydaje sií banalny, ale jego realizacja juø nie: jest to bowiem programowany sterownik wíøa
O autorze... 9 Wprowadzenie... 11
Spis tre ci O autorze... 9 Wprowadzenie... 11 Rozdzia 1. Sterownik przemys owy... 15 Sterownik S7-1200... 15 Budowa zewn trzna... 16 Budowa wewn trzna... 19 Cykl programu oraz tryby pracy... 21 Zestaw
Selektor linii telewizyjnych
Selektor P R linii O telewizyjnych J E K T Y Selektor linii telewizyjnych kit AVT 323 Przedstawiamy, od dawna zapowiadane, urz¹dzenie niezbídne w serwisie telewizyjnym, przydatne takøe w szkolnych laboratoriach.
Firma Wobit opracowuje i produkuje
78 firmy, ludzie, produkty Sterowniki mikrokrokowe silnikûw krokowych Witold Ober Na rynku dostípnych jest wiele napídûw úredniej wielkoúci. Jednak bardzo wyraünie kszta tuje sií zapotrzebowanie na ma
SPIS TREŚCI. 2. Arytmetyka rozproszona Symulacja układu programowalnego Realizacja algorytmu cyfrowego filtrowania
SPIS TREŚCI 1. Wstęp... 5 2. Arytmetyka rozproszona... 6 2.1. Symulacja układu programowalnego... 7 3. Realizacja algorytmu cyfrowego filtrowania... 9 4....... 10 5....... 12 6. Podsumowanie... 13 Literatura...
Detektor ruchu z głosowym automatem informacyjnym
Detektor ruchu z głosowym automatem P R O informacyjnym J E K T Y Detektor ruchu z głosowym automatem informacyjnym AVT 5099 Prezentowany w artykule uk³ad umoøliwia, po stwierdzeniu poruszaj¹cych sií osûb
Zdalnie sterowany (DTMF) termostat, część 1
Zdalnie sterowany P R (DTMF) O J E termostat K T Y Zdalnie sterowany (DTMF) termostat, część 1 AVT 557 Opisy regulatorûw temperatury czísto pojawiaj¹ sií w czasopismach elektronicznych, takøe EP ma swoim
Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki
Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 9 (3h) Projekt struktury hierarchicznej układu cyfrowego w FPGA. Instrukcja pomocnicza do laboratorium z przedmiotu
Wyświetlacz bezprzewodowy
Wyświetlacz bezprzewodowy AVT 5058 Wyświetlacz P R bezprzewodowy O J E K T Y W artykule przedstawiamy modu³ inteligentnego wyúwietlacza, sk³adaj¹cy sií z czterech wyúwietlaczy LED o wysokoúci 57mm oraz
Programator mikrokontrolerów PIC współpracujący z programem MPLAB AVT 5100
Programator mikrokontrolerów PIC współpracujący z programem MPLAB AVT 5100 P R O J E K JuPIC T Y Konstruktorzy s¹ bez w¹tpienia ludümi rûwnie leniwymi, jak wszyscy inni. St¹d pomys³ tworzenia dla nich,
Klocki RS485, część 2
P R O Klocki J E K RS485 T Y Klocki RS485, część 2 W drugiej czíúci artyku³u przedstawiamy dwie karty wyjúciowe, za pomoc¹ ktûrych moøna sterowaê rûønorodnymi urz¹dzeniami zewnítrznymi. Elementami wykonawczymi
Bezpieczeństwo informacji oparte o kryptografię kwantową
WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja
Systemy Czasu Rzeczywistego FPGA
01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 04 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2
Modelowanie złożonych układów cyfrowych (1)
Modelowanie złożonych układów cyfrowych () funkcje i procedury przykłady (przerzutniki, rejestry) style programowania kombinacyjne bloki funkcjonalne bufory trójstanowe multipleksery kodery priorytetowe
Domowy aparat telefoniczny z kartą chipową, część 1
Domowy aparat telefoniczny z kartą chipową, część 1 AVT 5081 Domowy aparat telefoniczny P R z O kartą J E chipową K T Y Jedynym skutecznym sposobem ograniczenia kosztûw rozmûw telefonicznych jest ograniczenie
Tester aparatów telefonicznych
Tester aparatów P R O telefonicznych J E K T Y Tester aparatów telefonicznych AVT 5056 NaprawiÊ aparat telefoniczny nie jest ³atwo, zw³aszcza gdy mamy aparat nowego typu, w ktûrym stosowane jest wybieranie
Dekoder dzia³a podobnie jak w telefonach komûrkowych: wyúwietla
do / z µc Dekoder CLIP AVT 5004 P R O Dekoder J E K CLIP T Y Identyfikacja numeru abonenta dzwoni¹cego CLIP (ang. Calling Line Identification Presentation), ogûlnie dostípna w sieciach komûrkowych oraz
Zdalnie sterowany (DTMF) termostat, część 1
Zdalnie sterowany P R (DTMF) O J E termostat K T Y Zdalnie sterowany (DTMF) termostat, część 1 AVT 557 Opisy regulatorûw temperatury czísto pojawiaj¹ sií w czasopismach elektronicznych, takøe EP ma swoim
S P R Z Ę T. 56F801. Uøytkownik dostaje rûwnieø w komplecie bogat¹ dokumentacjí w postaci elektronicznej
DSP (Digital Signal Processing), czyli cyfrowe przetwarzanie sygna³ûw, to wyzwanie wiíksze niø zabawa zwyk³ymi mikrokontrolerami. Dla wielu elektronikûw tematyka ta jest z pewnoúci¹ fascynuj¹ca, a zmierzenie
PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.
DATA: Ćwiczenie nr 4 PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.pl 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie
Stereofoniczny wzmacniacz klasy D 2x20W
Stereofoniczny wzmacniacz klasy D 2x20W AVT 550 Stereofoniczny wzmacniacz P R O klasy J E D K 2x20W T Y Wzmacniacze klasy D zyskuj¹ od kilku lat coraz wiíksz¹ popularnoúê mimo - co prawda coraz rzadszych
Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki
Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu
PUCY Kolos 2: Reloaded
PUCY Kolos 2: Reloaded 1) Narysować schemat układu mikroprogramowalnego z licznikiem rozkazów. 2) Narysować schemat elementu ścieżki cyklicznej dla sygnału kombinacyjnego 3) Narysować schemat elementu
Dekoder sterownik 7 segmentowego wyświetlacza LCD w VHDL
Dekoder sterownik 7 segmentowego wyświetlacza P R O J LCD E w K VHDL T Y Dekoder sterownik 7 segmentowego wyświetlacza LCD w VHDL Do podjícia tego tematu zachíci³ mnie post na pl.misc.elektronika, w ktûrym
Odbiornik GPS, część 1
Odbiornik P R O J GPS E K T Y Odbiornik GPS, część 1 AVT 888 Z publikacj¹ tego projektu czekaliúmy do czerwcowego numeru EP, poniewaø odbiorniki GPS nadaj¹ sií szczegûlnie dobrze na wakacyjne wojaøe, ktûre
Klocki RS485, część 1
P R O Klocki J E K RS485 T Y Klocki RS485, część 1 Magistrala i interfejs RS485 ciesz¹ sií nies³abn¹c¹ popularnoúci¹, a jak wykazuj¹ czíste pytania docieraj¹ce do redakcji, jest ci¹gle zbyt ma³o informacji
18 bitowy przetwornik C/A audio, część 1
18 bitowy P R O J przetwornik E K T Y C/A audio 18 bitowy przetwornik C/A audio, część 1 kit AVT 853 DoúÊ skrzítnie omijaliúmy dotychczas w EP zagadnienia cyfrowego audio, a to ze wzglídu na niebotyczne
Samochodowy alarm ze zdalnym sterowaniem, część 1
Samochodowy alarm ze P zdalnym R O J sterowaniem E K T Y Samochodowy alarm ze zdalnym sterowaniem, część 1 kit AVT 804 centralka alarmu kit AVT 805 pilot radiowy kit AVT 806 pilot na podczerwień kit AVT
Język opisu sprzętu VHDL
Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów
Tester wyświetlaczy VGA
Tester wyświetlaczy VGA Przenoúny instrument testowy opisany w niniejszym artykule dostarcza sygna³ûw testowych RGB dla twojego kolorowego wyúwietlacza VGA, takiego, jak stosowane w wielu wspû³czesnych
Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, Spis treści
Programowanie sterowników przemysłowych / Jerzy Kasprzyk. wyd. 2 1 dodr. (PWN). Warszawa, 2017 Spis treści Przedmowa 11 ROZDZIAŁ 1 Wstęp 13 1.1. Rys historyczny 14 1.2. Norma IEC 61131 19 1.2.1. Cele i
Programowany zegar ze zdalnym sterowaniem
Dział Projekty Czytelników zawiera opisy projektów nadesłanych do redakcji EP przez Czytelników. Redakcja nie bierze odpowiedzialności za prawidłowe działanie opisywanych układów, gdyż nie testujemy ich
Silniki elektryczne w praktyce elektronika, część 2
Silniki elektryczne w praktyce elektronika, część 2 K U R S Bezszczotkowe silniki DC s¹ znane od bardzo dawna, jednak ich powszechne zastosowanie umoøliwi³y dopiero tanie scalone sterowniki impulsowe.
Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM
Spis treści 1. Wstęp... 9 2. Ćwiczenia laboratoryjne... 12 2.1. Środowisko projektowania Quartus II dla układów FPGA Altera... 12 2.1.1. Cel ćwiczenia... 12 2.1.2. Wprowadzenie... 12 2.1.3. Przebieg ćwiczenia...
Programator mikrokontrolerów ST62
Programator R O J E mikrokontrolerów K T Y ST62 Programator mikrokontrolerów ST62 kit AVT 363 Jeøeli chcia³byú rozpocz¹ê now¹ elektroniczn¹ przygodí z najbardziej uniwersalnymi na úwiecie uk³adami cyfrowymi
Programowany zasilacz
Programowany P zasilacz R O laboratoryjny J E K T Y Programowany zasilacz laboratoryjny, część 1 kit AVT 366 Prezentowany w artykule zasilacz ma nieco odmienn¹ konstrukcjí niø wszystkie dotychczas przez
Bezprzewodowy regulator
Bezprzewodowy regulator P R O J temperatury E K T Y Bezprzewodowy regulator temperatury, część 1 AVT 5094 Powszechnie dostípne radiowe modu³y nadawczoodbiorcze umoøliwiaj¹ stosowanie ³¹czy bezprzewodowych
LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL
LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL 1. Cel ćwiczenia W ćwiczeniu student projektuje i implementuje w strukturze układu FPGA (Field Programmable Gate Array)
Siła (w) pamięci on-chip Implementacje pamięci w układach Cyclone IV firmy Altera
PODZESPOŁY Siła (w) pamięci on-chip Implementacje pamięci w układach Cyclone IV firmy Altera Dodatkowe materiały na CD i FTP Jedną ze sztandarowych cech współczesnych układów FPGA jest możliwość implementacji
Programowalny nastawnik do syntezera
Programowalny nastawnik do syntezera P R O częstotliwości J E K T Y Programowalny nastawnik do syntezera częstotliwości kit AVT 347 Przedstawione urz¹dzenie spe³nia rolí modu³u uniwersalnego nastawnika
Generator napisów na ekranie telewizora,
Generator P R O J napisów E K T na Y ekranie telewizora Generator napisów na ekranie telewizora, część 1 AVT 877 W artykule przedstawiamy projekt urz¹dzenia, ktûre zwiíkszy moøliwoúci domowego studia wideo.
AVT Amplituner FM z RDS
Amplituner P R O J FM E K z RDS T Y Amplituner FM z RDS AVT 5016 Ogromne zainteresowanie, jakim cieszy sií wúrûd CzytelnikÛw EP tuner FM AVT-900, zachíci³o nas do opracowania jego nowszej, znacznie udoskonalonej
Wstęp...9. 1. Architektura... 13
Spis treści 3 Wstęp...9 1. Architektura... 13 1.1. Schemat blokowy...14 1.2. Pamięć programu...15 1.3. Cykl maszynowy...16 1.4. Licznik rozkazów...17 1.5. Stos...18 1.6. Modyfikowanie i odtwarzanie zawartości
Układ do automatycznego wzywania Pogotowia Ratunkowego
Układ do automatycznego wzywania Pogotowia P R O Ratunkowego J E K T Y Układ do automatycznego wzywania Pogotowia Ratunkowego AVT 999 ØyczÍ wszystkim Czytelnikom, aby zbudowany przez nich uk³ad nigdy nie
MEADC P R O J E elektroniczno elektromechaniczny K T Y
MEADC P R O J E elektroniczno elektromechaniczny K T Y przetwornik A/C do komputera PC MEADC elektroniczno elektromechaniczny przetwornik A/C do komputera PC kit AVT 499 Wiosenne nastroje umoøliwi³y nam
Kiedy firma Apple na pocz¹tku lat osiemdziesi¹tych wyposaøy³a produkowane przez siebie komputery
P R O J E K T Y Czyli: mysz się chowa, część 1 Ulepszenie swojego ìpecetaî - to d¹øenie wielu elektronikûw-hobbystûw. W artykule przedstawiono projekt zupe³nie nietypowego urz¹dzenia steruj¹cego jego prac¹.
Wzmacniacz audio z wejściem cyfrowym, część 1
Wzmacniacz audio P z wejściem R O J E cyfrowym K T Y Wzmacniacz audio z wejściem cyfrowym, część 1 AVT 5026 Po wielu prûbach osi¹gníliúmy od dawna poø¹dany cel: opracowaliúmy konstrukcjí taniego w wykonaniu
Systemy wbudowane Mikrokontrolery
Systemy wbudowane Mikrokontrolery Budowa i cechy mikrokontrolerów Architektura mikrokontrolerów rodziny AVR 1 Czym jest mikrokontroler? Mikrokontroler jest systemem komputerowym implementowanym w pojedynczym
Programowalne układy logiczne
Programowalne układy logiczne Układy kombinacyjne Szymon Acedański Marcin Peczarski Instytut Informatyki Uniwersytetu Warszawskiego 28 września 2015 Co to jest układ kombinacyjny? Stan wyjść zależy tylko
Dwukierunkowy regulator obrotów silników DC
Dwukierunkowy regulator P obrotów R O J silników E K T DCY Dwukierunkowy regulator obrotów silników DC AVT 5011 Regulatory obrotûw silnikûw pr¹du sta³ego s¹ nieúmiertelnym tematem w pismach przeznaczonych
Uniwersalny przyrząd laboratoryjny, część 1
Uniwersalny przyrząd P R O laboratoryjny J E K T Y Uniwersalny przyrząd laboratoryjny, część 1 AVT 5034 Nie ulega w¹tpliwoúci, øe dobry miernik czístotliwoúci jest jednym z najpotrzebniejszych przyrz¹dûw
Układy FPGA w przykładach, część 2
Układy FPGA w przykładach, część 2 W drugiej części artykułu zajmiemy się omówieniem wyposażenia (po mikrokontrolerowemu : peryferiów) układów FPGA z rodziny Spartan 3, co ułatwi ich wykorzystywanie w
Samochodowy wzmacniacz 25 W w klasie D, część 1
Samochodowy wzmacniacz P R O 25 J W w E klasie K T DY Samochodowy wzmacniacz 25 W w klasie D, część 1 AVT 525 Wzmacniacze klasy D, nazywane takøe wzmacniaczami cyfrowymi, zyskuj¹ coraz wiíksz¹ popularnoúê.
Języki opisu sprzętu VHDL Mariusz Rawski
CAD Języki opisu sprzętu VHDL rawski@tele.pw.edu.pl http://rawski.zpt.tele.pw.edu.pl/ Języki opisu sprzętu System cyfrowy może być opisany na różnych poziomach abstrakcji i z wykorzystaniem różnych sposobów