ZL5ARM. Zestaw uruchomieniowy dla mikrokontrolerów LPC2119/2129 (rdzeń ARM7TMDI-S) Kompatybilność z zestawem MCB2100 firmy Keil

Wielkość: px
Rozpocząć pokaz od strony:

Download "ZL5ARM. Zestaw uruchomieniowy dla mikrokontrolerów LPC2119/2129 (rdzeń ARM7TMDI-S) Kompatybilność z zestawem MCB2100 firmy Keil"

Transkrypt

1 ZL5ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2119/2129 (rdzeń ARM7TMDI-S) ZL5ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2119/2129 (rdzeń ARM7TMDI-S) 1 Zestaw ZL5ARM opracowano z myślą o elektronikach chcących szybko zaznajomić się z mikrokontrolerami z rdzeniem ARM7TDMI-S wyposażonymi w interfejs CAN. Zastosowane w zestawie szybkie (taktowane sygnałem zegarowym o częstotliwości do 60 MHz), nowoczesne mikrokontrolery firmy Philips umożliwiają implementowanie aplikacji wymagających dużej mocy obliczeniowej. Architektura mikrokontrolerów i ich wyposażenie wewnętrzne stanowią doskonałą platformę dla programistów korzystających z języków wysokiego poziomu. Kompatybilność z zestawem MCB2100 firmy Keil Schemat blokowy zestawu pokazano na rys. 1. Standardowo zestawy ZL5ARM są dostarczane z mikrokontrolerem LPC2129 (tab. 1), ale zamiast niego może być zainstalowany mikrokontroler LPC2119 o dwukrotnie mniejszej pamięci Flash. Alternatywnie jest dopuszczalne montowanie na płytce zestawu innych mikrokontrolerów z rodziny LPC2100 w obudowie LQFP64, jak na przykład: LPC2114 lub LPC Zastosowane mikrokontrolery charakteryzują się bogatym wyposażeniem wewnętrznym, w skład którego wchodzą m.in. (rys. 2): przetwornik A/C z analogowym multiplekserem na wejściu, interfejsy szeregowe SPI, I2C, UART i CAN, do 256 kb pamięci Flash z możliwością programowania w systemie, 16 kb pamięci SRAM, wbudowany kontroler przerwań, sprzętowy zegar RTC, watchdog, timery o zaawansowanych możliwościach (w tym generacja PWM), system zarządzania pobieraną energią i pętla PLL służąca do powielania częstotliwości zewnętrznego sygnału zegarowego. Rys. 1. Schemat blokowy zestawu ZL5ARM Rys. 2. Schemat blokowy mikrokontrolerów LPC2119/2129

2 2 ZL5ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2119/2129 (rdzeń ARM7TMDI-S) Programowanie pamięci Flash Niezależnie od typu zastosowanego mikrokontrolera, programowanie pamięci programu (typu Flash) odbywa się na jeden z dwóch sposobów: poprzez interfejs RS232 (kanał COM0 rys. 3), w czym jest pomocny program narzędziowy LPC2000 Flash Utility (rys. 4), dostępny bezpłatnie na stronie internetowej firmy Philips (jest on dostarczany także na płycie CD-ROM dołączanej do zestawu), poprzez interfejs JTAG, co wymaga zastosowania dodatkowego interfejsu sprzętowego (np. ZL14PRG Wiggler). W przypadku korzystania z programu LPC2000 Flash Utility prędkość transmisji danych należy ustalić na 19,2 kbd (do tego celu służy okno Use Baud Rate) i podać częstotliwość zewnętrznego sygnału zegarowego lub częstotliwość rezonansową oscylatora dołączonego do mikrokontrolera (w oknie XTAL Freq.). Domyślnie jest ona ustalana na 12 MHz i w takie właśnie kwarce są standardowo wyposażane płytki ZL5ARM. Program LPC2000 Flash Utility jest dostępny na płycie CD-ROM dołączonej do zestawu oraz w Internecie pod adresem: crocontrollers/utilities/lpc2000_flash_utility.zip. Rys. 3. Lokalizacja złącza COM0 (stosowane do programowania pamięci Flash mikrokontrolera LPC 21x4 w systemie IAP) Rys. 4. Okno programu LPC2000 Flash Utility Zestawy wyposażono w prosty interfejs ułatwiający korzystanie z programowania IAP (In Application Programming) via RS232, który umożliwia m.in. automatyczne zerowanie mikrokontrolera po zakończeniu programowania. W tym celu zwory J7 i J10 należy zainstalować w pozycji ON, a w oknie Communication programu LPC2000 Flash Utility muszą być zaznaczone opcje: Use DTR/DTS for reset... (rys. 5) oraz w oknie Flash Programming opcja Execute Code after Upload (rys. 6). Rys. 5. Zalecana konfiguracja programu LPC2000 Flash Utility Rys. 6. Fragment okna programu LPC2000 Flash Utility, służąca do wskazania pliku wynikowego (HEX)

3 ZL5ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2119/2129 (rdzeń ARM7TMDI-S) 3 Na stronie producenta mikrokontrolerów oraz na płycie dołączonej do zestawu jest dostępny upgrade bootloadera, w jaki wyposażono mikrokontrolery LPC2100. Oprogramowanie jest dostępne pod adresem: trollers/utilities/lpc2000_bl_update.zip. Rys. 7. Schemat elektryczny zestawu ZL5ARM

4 4 ZL5ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2119/2129 (rdzeń ARM7TMDI-S) Opis układu Schemat elektryczny zestawu ZL5ARM przedstawiono na rys. 7. Zestaw wyposażono w trzynapięciowy stabilizator napięcia zasilającego (wyjścia: 1,8/3,3 i 5 V), który od strony wejściowej zabezpieczono przed podaniem napięcia zasilającego o nieprawidłowej polaryzacji za pomocą mostka Graetz'a B1. Zestaw powinien być zasilany napięciem o wartości VDC, można je dołączyć do jednego z gniazd: JP16 lub JP17. Dołączenie napięcia zasilającego jest sygnalizowane świeceniem diody LED D12. Złącze JP7 służy do dołączenia do mikrokontrolera wyświetlacza alfanumerycznego LCD wyposażonego w sterownik HD44870 lub z nim kompatybilny. Elementem interfejsu użytkownika są także diody LED D2...D10 dołączone poprzez bufor IC3 do portów P P1.23 mikrokontrolera IC1. Wymianę danych z otoczeniem umożliwiają dwa interfejsy szeregowe, wykorzystujące wewnętrzne UART-y mikrokontrolera IC1 i konwerter napięciowy IC2. Port COM0 służy także do programowania pamięci Flash mikrokontrolera w aplikacji. Badanie działania przetworników A/C wbudowanych w mikrokontroler ułatwią: termistor RT1 oraz potencjometr PR2, które zastosowano na płytce drukowanej zestawu (rys. 8). Zestaw wyposażono ponadto w złącze umożliwiające dołączenie do mikrokontrolera interfejsu JTAG (JP15), który jest uaktywniany po zainstalowaniu zwory J9 w pozycji ON i wyzerowaniu mikrokontrolera. Rys. 8. Rozmieszczenie najważniejszych elementów zestawu ZL5ARM

5 ZL5ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2119/2129 (rdzeń ARM7TMDI-S) Program przykładowy Mikrokontrolery w zestawach są dostarczane z zaprogramowaną pamięcią Flash. Program, którego wersja źródłowa i wynikowa (w postaci pliku HEX) znajduje się na płycie CD-ROM dołączonej do zestawu, umożliwia przetestowanie działania wyświetlacza LCD, przetwornika A/C (kanał AIN0) oraz portu komunikacyjnego RS232 (UART1). Po włączeniu zasilania na wyświetlaczu jest wyświetlany (w dwóch wierszach) tekst "* ZL5ARM *"/"* *". Po inicjalizacji wyświetlacza, na diodach LED jest wskazywana binarna (skrócona do 8 najbardziej znaczących bitów) wartość odpowiadająca napięciu zmierzonemu przez przetwornik A/C, ta sama liczba (już w postaci 10-bitowej liczby szesnastkowej) jest wysyłana przez port komunikacyjny COM1 (9600/8/1/-) do współpracującego komputera. Przed uruchomieniem urządzenia należy sprawdzić, czy zwora na złączu J2 (LED) jest założona, w przeciwnym przypadku wyświetlacz LCD nie będzie działał. Konfiguracje zworek Przetwornik A/C W przypadku korzystania z kanałów AIN0 (potencjometr PR2 ANA_V) lub AIN1 (termistor RT1 NTC) na styki złącz (odpowiednio) J6 (ANA_EN) i J5 (NTC_EN) muszą być założone zwory. Programowanie/debugowanie przez JTAG W przypadku korzystania z interfejsu JTAG należy założyć zworkę na styki J9 (JTAG_ENA) i wyzerować mikrokontroler (za pomocą przycisku RES lub wyłączając i włączając zasilanie). Należy pamiętać, że w tym trybie odpowiednie linie I/O nie są dostępne dla innych elementów aplikacji. 5! pojedynczych Przełączenie mikrokontrolera w tryb JTAG powoduje, że jego niektóre wyprowadzenia nie mogą być wykorzystywane w aplikacji. Wynika to z faktu multipleksowania wielu funkcji na liniach I/O. Automatyczne zerowanie po programowaniu Oprogramowanie LPC2000 Flash Utility umożliwia automatyczne wyzerowanie mikrokontrolera po zakończeniu programowania pamięci, co wymaga zainstalowania zworki J8 (RST_EN) w pozycji ON. Przycisk RESET działa niezależnie od położenia tej zworki. Programowanie via RS232 Programowanie pamięci Flash poprzez interfejs RS232 wymaga uruchomienia bootloadera. Wykonuje to automatycznie program LPC2000 Flash Utility, co wymaga zainstalowania zworki J7 (ISP) w pozycji ON. Zalecane jest także uaktywnienie automatycznego zerowania mikrokontrolera po zakończeniu programowania, co wymaga zainstalowania zworki J8 (RST_EN) w pozycji ON. W przypadku, gdy inicjowanie pracy bootloadera odbywa się ręcznie, należy zainstalować zworkę J10 w pozycji ON i po wciśnięciu przycisku S5 (INT1) wyzerować mikrokontroler. Podczas pracy zestawu można wykorzystać przycisk S2 do własnych celów, np. jako wejście uniwersalne (linia P0.14) lub wejście przerwania zewnętrznego (EINT1). Interfejs USB Na płytce drukowanej zestawu przewidziano miejsce dla modułu konwertera USB<->RS232 (złącze JP20). Jest on dołączany do interfejsu UART1 mikrokontrolera IC1 za pomocą zworek JP18 (linia RxD) i JP19 (linia TxD). Zastosowana konfiguracja połączeń pozwala dołączać do UART1 zamiennie konwerter USB<->RS232 lub interfejs IC2.! dostępny USB w ZL5ARM Zestaw ZL5ARM może być wyposażony w opcjonalny konwerter USB<->RS 232, który jest w internetowym sklepie (

6 6 ZL5ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2119/2129 (rdzeń ARM7TMDI-S) Tab. 1. Zestawienie najważniejszych parametrów wybranych mikrokontrolerów z rodziny LPC2100 Typ układu Pamięć programu Flash [kb] Pamięć SRAM [kb] Timery 32-bitowe Timer PWM Kanały PWM Liczba linii I/O UART I2C SPI CAN Przetwornik A/C (kanały/ rozdz.) Liczba przerwań (zewnętrznych) Wewnętrzna częstotliwość taktowania [MHz] Obudowa LPC /10 19 (4) 60 LQFP64 LPC /10 19 (4) 60 HVQFN64, LQFP64 LPC /10 19 (4) 60 HVQFN64, LQFP64 LPC /10 19 (4) 60 HVQFN64, LQFP64 LPC /10 19 (4) 60 HVQFN64, LQFP64 Interfejsy CAN Zestaw ZL5ARM wyposażono w dwa interfejsy CAN (U1 i U2), których wejścia TXD są dołączone do linii TD1 i TD2 mikrokontrolera, natomiast wyjścia można odłączyć od wejść RD1 i RD2 za pomocą zworek (odpowiednio) JP5 i JP6. Za pomocą zworki JP10 można przełączyć układy U1 i U2 w tryb nieaktywny (wejścia STB tych układów są podciągnięte do plusa zasilania, gdy zainstalowano zworkę JP10 w pozycji OFF). Na rys. 9 pokazano rozmieszczenie sygnałów w gniazdach CAN1 i CAN2. Rys. 9. Przypisanie sygnałów do styków gniazd CAN1 i CAN2 Klawiatura Na płytce zestawu ZL5ARM przewidziano miejsce dla 4 przycisków chwilowych, które spełniają rolę prostej klawiatury. Są one dołączane do portów P mikrokontrolera za pomocą zworek JP (styki przycisków włączone pomiędzy masę i linię portu, gdy zworki są w pozycji ON). Tab. 2. Sposób dołączenia modułu LCD do portów mikrokontrolera Numer wyprowadzenia LCD Nazwa sygnału LCD Linia portu mikrokontrolera 7 D0 P D1 P D2 P D3 P D4 P D5 P D6 P D7 P E P R/W (na stałe podano 0 ) 4 RS P0.30 W skład zestawu ZL5ARM wchodzi: zmontowana płytka z mikrokontrolerem LPC2129; wyświetlacz LCD 2x16 znaków; płyta CD-ROM (dokumentacja techniczna zestawu, noty katalogowe mikrokontrolerów 21xx, aplikacja do programowania IAP LPC2000 Flash Utility, kompilator języka C GCC, demonstracyjna wersja Keil µvision ograniczenie do 16 kb, programy przykładowe). BTC Korporacja Warszawa ul. Inowłodzka 5 fax: (22) biuro@btc.pl

ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S)

ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) ZL2ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) 1 Zestaw ZL2ARM opracowano z myślą

Bardziej szczegółowo

ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S)

ZL2ARM easyarm zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) ZL2ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC2104/5/6 (rdzeń ARM7TDMI-S) 1 Zestaw ZL2ARM opracowano z myślą o elektronikach chcących szybko zaznajomić się z mikrokontrolerami z rdzeniem ARM7TDMI-S.

Bardziej szczegółowo

ZL6ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC213x. Tab. 1. Zestawienie najważniejszych parametrów wybranych mikrokontrolerów z rodziny LPC213x

ZL6ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC213x. Tab. 1. Zestawienie najważniejszych parametrów wybranych mikrokontrolerów z rodziny LPC213x ZL6ARM Zestaw uruchomieniowy dla mikrokontrolerów LPC213x (rdzeń ARM7TMDI-S) Kompatybilny z zestawem MCB2130 firmy Keil! Zestaw ZL6ARM opracowano z myślą o elektronikach chcących szybko zaznajomić się

Bardziej szczegółowo

Tab. 1. Zestawienie najważniejszych parametrów wybranych mikrokontrolerów z rodziny LPC2100, które można zastosować w zestawie ZL3ARM.

Tab. 1. Zestawienie najważniejszych parametrów wybranych mikrokontrolerów z rodziny LPC2100, które można zastosować w zestawie ZL3ARM. ZL3ARM płytka bazowa dla modułu diparm_2106 (ZL4ARM) ZL3ARM Płytka bazowa dla modułu diparm_2106 Płytkę bazową ZL3ARM opracowano z myślą o elektronikach chcących szybko poznać mozliwości mikrokontrolerów

Bardziej szczegółowo

ZL9ARM płytka bazowa dla modułów diparm z mikrokontrolerami LPC213x/214x

ZL9ARM płytka bazowa dla modułów diparm z mikrokontrolerami LPC213x/214x ZL9ARM płytka bazowa dla modułów diparm z mikrokontrolerami LPC213x/214x ZL9ARM Płytka bazowa dla modułów diparm z mikrokontrolerami LPC213x/214x 1 ZL9ARM to uniwersalna płyta bazowa dla modułów diparm

Bardziej szczegółowo

ZL25ARM. Płyta bazowa dla modułów diparm z mikrokontrolerami STR912. [rdzeń ARM966E-S]

ZL25ARM. Płyta bazowa dla modułów diparm z mikrokontrolerami STR912. [rdzeń ARM966E-S] ZL25ARM Płyta bazowa dla modułów diparm z mikrokontrolerami STR912 [rdzeń ARM966E-S] ZL25ARM to płyta bazowa umożliwiająca wykonywanie różnorodnych eksperymentów z mikrokontrolerami STR912 (ARM966E-S).

Bardziej szczegółowo

ZL11ARM. Uniwersalna płytka bazowa dla modułów diparm

ZL11ARM. Uniwersalna płytka bazowa dla modułów diparm ZL11ARM Uniwersalna płytka bazowa dla modułów diparm ZL11ARM to uniwersalna płyta bazowa dla modułów diparm (np. ZL12ARM i ZL19ARM) z mikrokontrolerami wyposażonymi w rdzenie ARM produkowanymi przez różnych

Bardziej szczegółowo

STM32Butterfly2. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107

STM32Butterfly2. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 STM32Butterfly2 Zestaw STM32Butterfly2 jest platformą sprzętową pozwalającą poznać i przetestować możliwości mikrokontrolerów z rodziny STM32 Connectivity

Bardziej szczegółowo

LITEcomp. Zestaw uruchomieniowy z mikrokontrolerem ST7FLITE19

LITEcomp. Zestaw uruchomieniowy z mikrokontrolerem ST7FLITE19 LITEcomp Zestaw uruchomieniowy z mikrokontrolerem ST7FLITE19 Moduł LITEcomp to miniaturowy komputer wykonany na bazie mikrokontrolera z rodziny ST7FLITE1x. Wyposażono go w podstawowe peryferia, dzięki

Bardziej szczegółowo

ZL8AVR. Płyta bazowa dla modułów dipavr

ZL8AVR. Płyta bazowa dla modułów dipavr ZL8AVR Płyta bazowa dla modułów dipavr Zestaw ZL8AVR to płyta bazowa dla modułów dipavr (np. ZL7AVR z mikrokontrolerem ATmega128 lub ZL12AVR z mikrokontrolerem ATmega16. Wyposażono ją w wiele klasycznych

Bardziej szczegółowo

ZL9AVR. Płyta bazowa dla modułów ZL7AVR (ATmega128) i ZL1ETH (RTL8019)

ZL9AVR. Płyta bazowa dla modułów ZL7AVR (ATmega128) i ZL1ETH (RTL8019) ZL9AVR Płyta bazowa dla modułów ZL7AVR (ATmega128) i ZL1ETH (RTL8019) ZL9AVR to płyta bazowa umożliwiająca wykonywanie różnorodnych eksperymentów związanych z zastosowaniem mikrokontrolerów AVR w aplikacjach

Bardziej szczegółowo

Zestaw uruchomieniowy z mikrokontrolerem LPC1114 i wbudowanym programatorem ISP

Zestaw uruchomieniowy z mikrokontrolerem LPC1114 i wbudowanym programatorem ISP Zestaw uruchomieniowy z mikrokontrolerem LPC1114 i wbudowanym programatorem ISP ZL32ARM ZL32ARM z mikrokontrolerem LPC1114 (rdzeń Cotrex-M0) dzięki wbudowanemu programatorowi jest kompletnym zestawem uruchomieniowym.

Bardziej szczegółowo

STM32 Butterfly. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107

STM32 Butterfly. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 STM32 Butterfly Zestaw STM32 Butterfly jest platformą sprzętową pozwalającą poznać i przetestować możliwości mikrokontrolerów z rodziny STM32 Connectivity

Bardziej szczegółowo

ZL27ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F103

ZL27ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F103 ZL27ARM Zestaw uruchomieniowy dla mikrokontrolerów STM32F103 Zestaw ZL27ARM jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów STM32F103. Dzięki wyposażeniu w szeroką gamę zaawansowanych układów

Bardziej szczegółowo

ZL29ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107

ZL29ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 ZL29ARM Zestaw uruchomieniowy dla mikrokontrolerów STM32F107 Zestaw ZL29ARM jest platformą sprzętową pozwalającą poznać i przetestować możliwości mikrokontrolerów z rodziny STM32 Connectivity Line (STM32F107).

Bardziej szczegółowo

LITEcompLPC1114. Zestaw ewaluacyjny z mikrokontrolerem LPC1114 (Cortex-M0) Sponsorzy:

LITEcompLPC1114. Zestaw ewaluacyjny z mikrokontrolerem LPC1114 (Cortex-M0) Sponsorzy: LITEcompLPC1114 Zestaw ewaluacyjny z mikrokontrolerem LPC1114 (Cortex-M0) Bezpłatny zestaw dla Czytelników książki Mikrokontrolery LPC1100. Pierwsze kroki LITEcompLPC1114 jest doskonałą platformą mikrokontrolerową

Bardziej szczegółowo

Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów AVR

Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów AVR Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów AVR ZL10AVR Zestaw ZL10AVR umożliwia wszechstronne przetestowanie aplikacji wykonanych z wykorzystaniem mikrokontrolerów z rodziny AVR (ATtiny, ATmega,

Bardziej szczegółowo

ZL28ARM. Zestaw uruchomieniowy dla mikrokontrolerów AT91SAM7XC

ZL28ARM. Zestaw uruchomieniowy dla mikrokontrolerów AT91SAM7XC ZL28ARM Zestaw uruchomieniowy dla mikrokontrolerów AT91SAM7XC Zestaw ZL28ARM jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów AT91SAM7XC. Dzięki wyposażeniu w szeroką gamę układów peryferyjnych

Bardziej szczegółowo

ZL6PLD zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx

ZL6PLD zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx ZL6PLD Zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx 1 ZL6PLD jest zestawem uruchomieniowym dla układów FPGA z rodziny Spartan 3 firmy Xilinx. Oprócz układu PLD o dużych zasobach

Bardziej szczegółowo

ADuCino 360. Zestaw uruchomieniowy dla mikrokontrolerów ADuCM360/361

ADuCino 360. Zestaw uruchomieniowy dla mikrokontrolerów ADuCM360/361 Zestaw uruchomieniowy dla mikrokontrolerów ADuCM360/361 ADuCino 360 Zestaw ADuCino jest tanim zestawem uruchomieniowym dla mikrokontrolerów ADuCM360 i ADuCM361 firmy Analog Devices mechanicznie kompatybilnym

Bardziej szczegółowo

ZL11AVR. Zestaw uruchomieniowy z mikrokontrolerem ATtiny2313

ZL11AVR. Zestaw uruchomieniowy z mikrokontrolerem ATtiny2313 ZL11AVR Zestaw uruchomieniowy z mikrokontrolerem ATtiny2313 Zestaw przeznaczony do budowania prostych aplikacji z mikrokontrolerem ATtiny2313 (w podstawkę można również zamontować AT90S1200 lub AT90S2313).

Bardziej szczegółowo

ZL4PIC. Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC

ZL4PIC. Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC ZL4PIC uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC (v.1.0) ZL4PIC Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC 1 Zestaw jest przeznaczony dla elektroników zajmujących się aplikacjami

Bardziej szczegółowo

ZL30ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F103

ZL30ARM. Zestaw uruchomieniowy dla mikrokontrolerów STM32F103 ZL30ARM Zestaw uruchomieniowy dla mikrokontrolerów STM32F103 Zestaw ZL30ARM jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów STM32F103. Dzięki wyposażeniu w szeroką gamę układów peryferyjnych

Bardziej szczegółowo

ZL4PIC. Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC

ZL4PIC. Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC ZL4PIC uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC (v.1.0) ZL4PIC Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC 1 Zestaw jest przeznaczony dla elektroników zajmujących się aplikacjami

Bardziej szczegółowo

ZL10PLD. Moduł dippld z układem XC3S200

ZL10PLD. Moduł dippld z układem XC3S200 ZL10PLD Moduł dippld z układem XC3S200 Moduły dippld opracowano z myślą o ułatwieniu powszechnego stosowania układów FPGA z rodziny Spartan 3 przez konstruktorów, którzy nie mogą lub nie chcą inwestować

Bardziej szczegółowo

ZL4PIC uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC (v.1.0) Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC

ZL4PIC uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC (v.1.0) Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC 1 ZL4PIC Uniwersalny zestaw uruchomieniowy dla mikrokontrolerów PIC Zestaw jest przeznaczony dla elektroników zajmujących się aplikacjami mikrokontrolerów PIC. Jest on przystosowany do współpracy z mikrokontrolerami

Bardziej szczegółowo

ZL2AVR. Zestaw uruchomieniowy z mikrokontrolerem ATmega8

ZL2AVR. Zestaw uruchomieniowy z mikrokontrolerem ATmega8 ZL2AVR Zestaw uruchomieniowy z mikrokontrolerem ATmega8 ZL2AVR jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów ATmega8 (oraz innych w obudowie 28-wyprowadzeniowej). Dzięki wyposażeniu w

Bardziej szczegółowo

dokument DOK 02-05-12 wersja 1.0 www.arskam.com

dokument DOK 02-05-12 wersja 1.0 www.arskam.com ARS3-RA v.1.0 mikro kod sterownika 8 Linii I/O ze zdalną transmisją kanałem radiowym lub poprzez port UART. Kod przeznaczony dla sprzętu opartego o projekt referencyjny DOK 01-05-12. Opis programowania

Bardziej szczegółowo

ZL2ST7. Zestaw uruchomieniowy dla mikrokontrolerów ST7LITE

ZL2ST7. Zestaw uruchomieniowy dla mikrokontrolerów ST7LITE ZL2ST7 Zestaw uruchomieniowy dla mikrokontrolerów ST7LITE ZL2ST7 to uniwersalny zestaw uruchomieniowy dla szerokiej gamy mikrokontrolerów z rodziny ST7LITE. Zestaw zawiera typowe peryferia stosowane w

Bardziej szczegółowo

AVREVB1. Zestaw uruchomieniowy dla mikrokontrolerów AVR. Zestawy uruchomieniowe www.evboards.eu

AVREVB1. Zestaw uruchomieniowy dla mikrokontrolerów AVR. Zestawy uruchomieniowe www.evboards.eu AVREVB1 Zestaw uruchomieniowy dla mikrokontrolerów AVR. 1 Zestaw AVREVB1 umożliwia szybkie zapoznanie się z bardzo popularną rodziną mikrokontrolerów AVR w obudowach 40-to wyprowadzeniowych DIP (układy

Bardziej szczegółowo

1. Wprowadzenie Programowanie mikrokontrolerów Sprzęt i oprogramowanie... 33

1. Wprowadzenie Programowanie mikrokontrolerów Sprzęt i oprogramowanie... 33 Spis treści 3 1. Wprowadzenie...11 1.1. Wstęp...12 1.2. Mikrokontrolery rodziny ARM...13 1.3. Architektura rdzenia ARM Cortex-M3...15 1.3.1. Najważniejsze cechy architektury Cortex-M3... 15 1.3.2. Rejestry

Bardziej szczegółowo

KAmduino UNO. Rev Źródło:

KAmduino UNO. Rev Źródło: KAmduino UNO Rev. 20170811113756 Źródło: http://wiki.kamami.pl/index.php?title=kamduino_uno Spis treści Podstawowe cechy i parametry... 2 Wyposażenie standardowe... 3 Schemat elektryczny... 4 Mikrokontroler

Bardziej szczegółowo

LABORATORIUM - ELEKTRONIKA Układy mikroprocesorowe cz.2

LABORATORIUM - ELEKTRONIKA Układy mikroprocesorowe cz.2 LABORATORIUM - ELEKTRONIKA Układy mikroprocesorowe cz.2 1. Cel ćwiczenia Celem ćwiczenia jest pokazanie budowy systemów opartych na układach Arduino. W tej części nauczymy się podłączać różne czujników,

Bardziej szczegółowo

ZL5PIC. Zestaw uruchomieniowy dla mikrokontrolerów PIC16F887

ZL5PIC. Zestaw uruchomieniowy dla mikrokontrolerów PIC16F887 ZL5PIC Zestaw uruchomieniowy dla mikrokontrolerów PIC16F887 ZL5PIC jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów PIC16F887 (oraz innych w obudowie 40-wyprowadzeniowej). Dzięki wyposażeniu

Bardziej szczegółowo

ZL15AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega32

ZL15AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega32 ZL15AVR Zestaw uruchomieniowy dla mikrokontrolerów ATmega32 ZL15AVR jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów ATmega32 (oraz innych w obudowie 40-wyprowadzeniowej). Dzięki wyposażeniu

Bardziej szczegółowo

ZL15AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega32

ZL15AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega32 ZL15AVR Zestaw uruchomieniowy dla mikrokontrolerów ATmega32 ZL15AVR jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów ATmega32 (oraz innych w obudowie 40-wyprowadzeniowej). Dzięki wyposażeniu

Bardziej szczegółowo

ARMputer, część 1 AVT 922

ARMputer, część 1 AVT 922 P R O J E K T Y ARMputer, część 1 AVT 922 Mikrokontrolery z rdzeniem ARM7TDMI szybko wspinają się na szczyty popularności, czego jedną z najważniejszych przyczyn są ekspresowo malejące ceny tych układów.

Bardziej szczegółowo

Płytka uruchomieniowa AVR oparta o układ ATMega16/ATMega32. Instrukcja Obsługi. SKN Chip Kacper Cyrocki Page 1

Płytka uruchomieniowa AVR oparta o układ ATMega16/ATMega32. Instrukcja Obsługi. SKN Chip Kacper Cyrocki Page 1 Płytka uruchomieniowa AVR oparta o układ ATMega16/ATMega32 Instrukcja Obsługi SKN Chip Kacper Cyrocki Page 1 Spis treści Wstęp... 3 Wyposażenie płytki... 4 Zasilanie... 5 Programator... 6 Diody LED...

Bardziej szczegółowo

KAmduino UNO. Płytka rozwojowa z mikrokontrolerem ATmega328P, kompatybilna z Arduino UNO

KAmduino UNO. Płytka rozwojowa z mikrokontrolerem ATmega328P, kompatybilna z Arduino UNO Płytka rozwojowa z mikrokontrolerem ATmega328P, kompatybilna z Arduino UNO to płytka rozwojowa o funkcjonalności i wymiarach typowych dla Arduino UNO. Dzięki wbudowanemu mikrokontrolerowi ATmega328P i

Bardziej szczegółowo

ZL1MSP430 Zestaw startowy dla mikrokontrolerów MSP430F11xx/11xxA ZL1MSP430

ZL1MSP430 Zestaw startowy dla mikrokontrolerów MSP430F11xx/11xxA ZL1MSP430 ZL1MSP430 Zestaw startowy dla mikrokontrolerów MSP430F11xx/11xxA ZL1MSP430 Zestaw startowy dla mikrokontrolerów MSP430F11xx/11xxA Mikrokontrolery z rodziny MSP430 słyną z niewielkiego poboru mocy i możliwości

Bardziej szczegółowo

ZL16AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega8/48/88/168

ZL16AVR. Zestaw uruchomieniowy dla mikrokontrolerów ATmega8/48/88/168 ZL16AVR Zestaw uruchomieniowy dla mikrokontrolerów ATmega8/48/88/168 ZL16AVR jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerówavr w obudowie 28-wyprowadzeniowej (ATmega8/48/88/168). Dzięki

Bardziej szczegółowo

SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701. SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701.

SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701. SigmaDSP - zestaw uruchomieniowy dla procesora ADAU1701. SigmaDSP - zestaw uruchomieniowy. SigmaDSP jest niedrogim zestawem uruchomieniowym dla procesora DSP ADAU1701 z rodziny SigmaDSP firmy Analog Devices, który wraz z programatorem USBi i darmowym środowiskiem

Bardziej szczegółowo

ZL3ST7. Zestaw uruchomieniowy dla mikrokontrolerów

ZL3ST7. Zestaw uruchomieniowy dla mikrokontrolerów ZL3ST7 Zestaw uruchomieniowy dla mikrokontrolerów ST7FLITE3x Zestaw ZL3ST7 jest uniwersalnym zestawem uruchomieniowym dla mikrokontrolerów ST7FLITE3x. Dzięki wyposażeniu w szeroką gamę układów peryferyjnych

Bardziej szczegółowo

KA-NUCLEO-UniExp. Wielofunkcyjny ekspander dla NUCLEO i Arduino z Bluetooth, MEMS 3DoF, LED-RGB i czujnikiem temperatury

KA-NUCLEO-UniExp. Wielofunkcyjny ekspander dla NUCLEO i Arduino z Bluetooth, MEMS 3DoF, LED-RGB i czujnikiem temperatury Wielofunkcyjny ekspander dla NUCLEO i Arduino z Bluetooth, MEMS 3DoF, LED-RGB i czujnikiem temperatury jest uniwersalnym ekspanderem dla komputerów NUCLEO oraz Arduino, wyposażonym w analogowy czujnik

Bardziej szczegółowo

Vinculum scalony host USB

Vinculum scalony host USB Vinculum scalony host USB Układy USB firmy FTDI zdobyły w ciągu ostatnich kilku lat dużą popularność głównie dzięki łatwości ich stosowania i dostępności sterowników. Firma ta może pochwalić się kolejnym

Bardziej szczegółowo

Uniwersalny zestaw uruchomieniowy ZL4PIC

Uniwersalny zestaw uruchomieniowy ZL4PIC Uniwersalny zestaw uruchomieniowy ZL4PIC Uniwersalny zestaw uruchomieniowy ZL4PIC przeznaczony jest testowania aplikacji realizowanych na bazie mikrokontrolerów PIC. Jest on przystosowany do współpracy

Bardziej szczegółowo

FREEboard. Zestaw startowy z mikrokontrolerem z rodziny Freescale KINETIS L (Cortex-M0+) i sensorami MEMS 7 DoF

FREEboard. Zestaw startowy z mikrokontrolerem z rodziny Freescale KINETIS L (Cortex-M0+) i sensorami MEMS 7 DoF FREEboard Zestaw startowy z mikrokontrolerem z rodziny Freescale KINETIS L (Cortex-M0+) i sensorami MEMS 7 DoF FREEboard to bogato wyposażona platforma startowa wyposażona w mikrokontroler z rodziny Freescale

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

Politechnika Białostocka

Politechnika Białostocka Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Kod przedmiotu: TS1C 622 388 Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: Elektronika samochodowa Temat: Programowanie

Bardziej szczegółowo

Programator ZL2PRG jest uniwersalnym programatorem ISP dla mikrokontrolerów, o budowie zbliżonej do STK200/300 (produkowany przez firmę Kanda).

Programator ZL2PRG jest uniwersalnym programatorem ISP dla mikrokontrolerów, o budowie zbliżonej do STK200/300 (produkowany przez firmę Kanda). ZL2PRG Programator ISP dla mikrokontrolerów AVR firmy Atmel Programator ZL2PRG jest uniwersalnym programatorem ISP dla mikrokontrolerów, o budowie zbliżonej do STK200/300 (produkowany przez firmę Kanda).

Bardziej szczegółowo

ZL19PRG. Programator USB dla układów PLD firmy Altera

ZL19PRG. Programator USB dla układów PLD firmy Altera ZL19PRG Programator USB dla układów PLD firmy Altera Nowoczesny programator i konfigurator układów PLD produkowanych przez firmę Altera, w pełni zgodny ze standardem USB Blaster, dzięki czemu współpracuje

Bardziej szczegółowo

MAXimator. Zestaw startowy z układem FPGA z rodziny MAX10 (Altera) Partnerzy technologiczni projektu:

MAXimator. Zestaw startowy z układem FPGA z rodziny MAX10 (Altera) Partnerzy technologiczni projektu: Zestaw startowy z układem FPGA z rodziny MAX10 (Altera) MAXimator Zestaw startowy z nowoczesnym układem FPGA z rodziny Altera MAX10, wyposażony w złącze zgodne z Arduino Uno Rev 3, interfejsy wideo HDMI+CEC+DCC

Bardziej szczegółowo

Moduł uruchomieniowy AVR ATMEGA-16 wersja 2

Moduł uruchomieniowy AVR ATMEGA-16 wersja 2 Dane aktualne na dzień: 30-08-2016 20:09 Link do produktu: /modul-uruchomieniowy-avr-atmega-16-wersja-2-p-572.html Moduł uruchomieniowy AVR ATMEGA-16 wersja 2 Cena Cena poprzednia Dostępność 211,00 zł

Bardziej szczegółowo

Programator-debugger JTAG/SWIM dla mikrokontrolerów STM32 i STM8

Programator-debugger JTAG/SWIM dla mikrokontrolerów STM32 i STM8 Programator-debugger JTAG/SWIM dla mikrokontrolerów STM32 i STM8 ZL30PRG Nowoczesny programator-debugger z USB obsługujący interfejsy JTAG (mikrokontrolery STM32) i SWIM (mikrokontrolery STM8). W pełni

Bardziej szczegółowo

Rys. 1. Schemat ideowy karty przekaźników. AVT 5250 Karta przekaźników z interfejsem Ethernet

Rys. 1. Schemat ideowy karty przekaźników. AVT 5250 Karta przekaźników z interfejsem Ethernet Głównym elementem jest mikrokontroler PIC18F67J60, który oprócz typowych modułów sprzętowych, jak port UART czy interfejs I2C, ma wbudowany kompletny moduł kontrolera Ethernet. Schemat blokowy modułu pokazano

Bardziej szczegółowo

Szkolenia specjalistyczne

Szkolenia specjalistyczne Szkolenia specjalistyczne AGENDA Programowanie mikrokontrolerów w języku C na przykładzie STM32F103ZE z rdzeniem Cortex-M3 GRYFTEC Embedded Systems ul. Niedziałkowskiego 24 71-410 Szczecin info@gryftec.com

Bardziej szczegółowo

WYKŁAD 5. Zestaw DSP60EX. Zestaw DSP60EX

WYKŁAD 5. Zestaw DSP60EX. Zestaw DSP60EX Zestaw DSP60EX Karta DSP60EX współpracuje z sterownikiem DSP60 i stanowi jego rozszerzenie o interfejs we/wy cyfrowy, analogowy oraz użytkownika. Karta z zamontowanym sterownikiem pozwala na wykorzystanie

Bardziej szczegółowo

Płyta uruchomieniowa EBX51

Płyta uruchomieniowa EBX51 Dariusz Kozak ZESTAW URUCHOMIENIOWY MIKROKOMPUTERÓW JEDNOUKŁADOWYCH MCS-51 ZUX51 Płyta uruchomieniowa EBX51 INSTRUKCJA OBSŁUGI Wszystkie prawa zastrzeżone Kopiowanie, powielanie i rozpowszechnianie w jakiejkolwiek

Bardziej szczegółowo

KAmodRPiADCDAC. Moduł przetwornika A/C i C/A dla komputerów RaspberryPi i RaspberryPi+

KAmodRPiADCDAC. Moduł przetwornika A/C i C/A dla komputerów RaspberryPi i RaspberryPi+ Moduł przetwornika A/C i C/A dla komputerów RaspberryPi i RaspberryPi+ jest ekspanderem funkcjonalnym dla komputerów RaspberryPi oraz Raspberry Pi+ zapewniającym możliwość konwersji A/C i C/A z rozdzielczością

Bardziej szczegółowo

AVR DRAGON. INSTRUKCJA OBSŁUGI (wersja 1.0)

AVR DRAGON. INSTRUKCJA OBSŁUGI (wersja 1.0) AVR DRAGON INSTRUKCJA OBSŁUGI (wersja 1.0) ROZDZIAŁ 1. WSTĘP... 3 ROZDZIAŁ 2. ROZPOCZĘCIE PRACY Z AVR DRAGON... 5 ROZDZIAŁ 3. PROGRAMOWANIE... 8 ROZDZIAŁ 4. DEBUGOWANIE... 10 ROZDZIAŁ 5. SCHEMATY PODŁĄCZEŃ

Bardziej szczegółowo

1.2. Architektura rdzenia ARM Cortex-M3...16

1.2. Architektura rdzenia ARM Cortex-M3...16 Od Autora... 10 1. Wprowadzenie... 11 1.1. Wstęp...12 1.1.1. Mikrokontrolery rodziny ARM... 14 1.2. Architektura rdzenia ARM Cortex-M3...16 1.2.1. Najważniejsze cechy architektury Cortex-M3... 16 1.2.2.

Bardziej szczegółowo

Płytka uruchomieniowa XM64

Płytka uruchomieniowa XM64 2015 Płytka uruchomieniowa XM64 - Instrukcja obsługi www.barion-st.com 2015-05-12 2 SPIS TREŚCI 1. WSTĘP... 3 1.1 Co to jest XM64?... 3 1.2 Budowa oraz parametry techniczne... 3 1.3 Schemat połączeń...

Bardziej szczegółowo

NXP ma nowe ARM-y. BlueStreak: co i jak

NXP ma nowe ARM-y. BlueStreak: co i jak NXP ma nowe ARM-y No właśnie: bo trudno powiedzieć, wprowadza na rynek. Firma NXP odkupiła bowiem obydwie linie mikrokontrolerów (ARM7 i ARM9) od firmy Sharp Electronics, tak więc popularne w niektórych

Bardziej szczegółowo

2. Architektura mikrokontrolerów PIC16F8x... 13

2. Architektura mikrokontrolerów PIC16F8x... 13 Spis treści 3 Spis treœci 1. Informacje wstępne... 9 2. Architektura mikrokontrolerów PIC16F8x... 13 2.1. Budowa wewnętrzna mikrokontrolerów PIC16F8x... 14 2.2. Napięcie zasilania... 17 2.3. Generator

Bardziej szczegółowo

Murasaki Zou むらさきぞう v1.1 Opis programowania modułu LPC2368/LPC1768 z wykorzystaniem ISP

Murasaki Zou むらさきぞう v1.1 Opis programowania modułu LPC2368/LPC1768 z wykorzystaniem ISP Murasaki Zou むらさきぞう v1.1 Opis programowania modułu LPC2368/LPC1768 z wykorzystaniem ISP Moduł mikroprocesorowy Murasaki Zou v1.1 wyposaŝony jest w jeden z dwóch mikrokontrolerów tj. ARM7 LPC2368, oraz

Bardziej szczegółowo

E-TRONIX Sterownik Uniwersalny SU 1.2

E-TRONIX Sterownik Uniwersalny SU 1.2 Obudowa. Obudowa umożliwia montaż sterownika na szynie DIN. Na panelu sterownika znajduje się wyświetlacz LCD 16x2, sygnalizacja LED stanu wejść cyfrowych (LED IN) i wyjść logicznych (LED OUT) oraz klawiatura

Bardziej szczegółowo

Ćwiczenie 5 Zegar czasu rzeczywistego na mikrokontrolerze AT90S8515

Ćwiczenie 5 Zegar czasu rzeczywistego na mikrokontrolerze AT90S8515 Laboratorium Techniki Mikroprocesorowej Informatyka studia dzienne Ćwiczenie 5 Zegar czasu rzeczywistego na mikrokontrolerze AT90S8515 Cel ćwiczenia Celem ćwiczenia jest poznanie możliwości nowoczesnych

Bardziej szczegółowo

Moduł uruchomieniowy mikrokontrolera MC68HC912B32

Moduł uruchomieniowy mikrokontrolera MC68HC912B32 Instytut Cybernetyki Technicznej Systemy Mikroprocesorowe Moduł uruchomieniowy mikrokontrolera MC68HC912B32 Grzegorz Cielniak Wrocław 1999 1. Informacje ogólne Moduł uruchomieniowy jest tanim i prostym

Bardziej szczegółowo

BF20 JTAG dla ARM ów z interfejsem USB Instrukcja obsługi

BF20 JTAG dla ARM ów z interfejsem USB Instrukcja obsługi BF20 JTAG dla ARM ów z interfejsem USB Instrukcja obsługi Copyright (c) 2007 2008 Boff Spis treści 1. Opis urządzenia...3 2. Instalacja oprogramowania w Windows...4 3. Instalacja oprogramowania w UBUNTU

Bardziej szczegółowo

KA-NUCLEO-F411CE. Płytka rozwojowa z mikrokontrolerem STM32F411CE

KA-NUCLEO-F411CE. Płytka rozwojowa z mikrokontrolerem STM32F411CE Płytka rozwojowa z mikrokontrolerem STM32F411CE to płytka rozwojowa o rozstawie złącz typowym dla Arduino UNO, bazująca na mikrokontrolerze STM32F411CE. Dzięki wbudowanemu programatorowi zgodnemu z ST-Link/v2-1,

Bardziej szczegółowo

Wstęp...9. 1. Architektura... 13

Wstęp...9. 1. Architektura... 13 Spis treści 3 Wstęp...9 1. Architektura... 13 1.1. Schemat blokowy...14 1.2. Pamięć programu...15 1.3. Cykl maszynowy...16 1.4. Licznik rozkazów...17 1.5. Stos...18 1.6. Modyfikowanie i odtwarzanie zawartości

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

Jednym z najlepszych sposobów poznawania nowego typu mikrokontrolera

Jednym z najlepszych sposobów poznawania nowego typu mikrokontrolera Zestaw startowy dla P R O J E K T Y procesora MSP430F413, część 1 AVT 920 Z dostępnych na rynku mikrokontrolerów trudno jest jednoznacznie wybrać najlepszy. Każdy ma jakieś swoje zalety i wady. Nawet popularność

Bardziej szczegółowo

ZL17PRG. Programator ICP dla mikrokontrolerów ST7F Flash

ZL17PRG. Programator ICP dla mikrokontrolerów ST7F Flash ZL17PRG Programator ICP dla mikrokontrolerów ST7F Flash Programator ZL17PRG umożliwia programowanie mikrokontrolerów z rodziny ST7 firmy STMicroelectronics. Programator pracuje w oparciu o protokół ICC

Bardziej szczegółowo

MultiTool instrukcja użytkownika 2010 SFAR

MultiTool instrukcja użytkownika 2010 SFAR MultiTool instrukcja użytkownika 2010 SFAR Tytuł dokumentu: MultiTool instrukcja użytkownika Wersja dokumentu: V1.0 Data: 21.06.2010 Wersja urządzenia którego dotyczy dokumentacja: MultiTool ver. 1.00

Bardziej szczegółowo

IIPW_SML3_680 (Z80) przewodnik do ćwiczeń laboratoryjnych

IIPW_SML3_680 (Z80) przewodnik do ćwiczeń laboratoryjnych IIPW_SML3_680 (Z80) przewodnik do ćwiczeń laboratoryjnych wrzesieo 2010 UWAGA: Moduł jest zasilany napięciem do 3.3V i nie może współpracowad z wyjściami układów zasilanych z wyższych napięd. Do pracy

Bardziej szczegółowo

Wyniki (prawie)końcowe - Elektroniczne warcaby

Wyniki (prawie)końcowe - Elektroniczne warcaby Wyniki (prawie)końcowe - Elektroniczne warcaby Zbigniew Duszeńczuk 14 czerwca 2008 Spis treści 1 Stan realizacji projektu na dzień 14 czerwca 2008 2 2 Najważniejsze cechy projektu 2 2.1 Użyte elementy..............................

Bardziej szczegółowo

Elektronika samochodowa (Kod: TS1C )

Elektronika samochodowa (Kod: TS1C ) Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu Elektronika samochodowa (Kod: TS1C 622 388) Temat: Programowanie mikrokontrolerów

Bardziej szczegółowo

Zgrana para - NerO i CleO

Zgrana para - NerO i CleO 1 Zgrana para NerO i CleO Zgrana para - NerO i CleO Wyświetlacze inteligentne CleO, opracowane przez firmę Bridgetek (FTDI) są ciekawą propozycją dla elektroników, którzy zamierzają wyposażyć swoją aplikację

Bardziej szczegółowo

Płytka ewaluacyjna z ATmega16/ATmega32 ARE0021/ARE0024

Płytka ewaluacyjna z ATmega16/ATmega32 ARE0021/ARE0024 Płytka ewaluacyjna z ATmega16/ATmega32 ARE0021/ARE0024 Płytka idealna do nauki programowania mikrokontrolerów i szybkiego budowanie układów testowych. Posiada mikrokontroler ATmega16/ATmega32 i bogate

Bardziej szczegółowo

ARS3 RZC. z torem radiowym z układem CC1101, zegarem RTC, kartą Micro SD dostosowany do mikro kodu ARS3 Rxx. dokument DOK 01 05 12. wersja 1.

ARS3 RZC. z torem radiowym z układem CC1101, zegarem RTC, kartą Micro SD dostosowany do mikro kodu ARS3 Rxx. dokument DOK 01 05 12. wersja 1. ARS RZC projekt referencyjny płytki mikrokontrolera STMF z torem radiowym z układem CC0, zegarem RTC, kartą Micro SD dostosowany do mikro kodu ARS Rxx dokument DOK 0 0 wersja.0 arskam.com . Informacje

Bardziej szczegółowo

Kod produktu: MP01611

Kod produktu: MP01611 CZYTNIK RFID ZE ZINTEGROWANĄ ANTENĄ, WYJŚCIE RS232 (TTL) Moduł stanowi tani i prosty w zastosowaniu czytnik RFID dla transponderów UNIQUE 125kHz, umożliwiający szybkie konstruowanie urządzeń do bezstykowej

Bardziej szczegółowo

Moduł prototypowy X3-DIL64 z procesorem ATxmega128A3U-AU

Moduł prototypowy X3-DIL64 z procesorem ATxmega128A3U-AU Moduł prototypowy X3-DIL64 z procesorem ATxmega128A3U-AU wersja 2.1 Moduł X3-DIL64 umożliwia prototypowanie urządzeń z wykorzystaniem procesora ATmega128A3U-AU oraz naukę programowania nowoczesnych mikrokontrolerów

Bardziej szczegółowo

Stanowisko laboratoryjne dla mikrokontrolera ATXmega32A4 firmy Atmel

Stanowisko laboratoryjne dla mikrokontrolera ATXmega32A4 firmy Atmel Katedra Metrologii i Optoelektroniki Wydział Elektroniki, Telekomunikacji i Informatyki Politechnika Gdańska LABORATORIUM MIKROKONTROLERY I MIKROSYSTEMY Stanowisko laboratoryjne dla mikrokontrolera ATXmega32A4

Bardziej szczegółowo

ISBN. Copyright by Wydawnictwo BTC Legionowo 2010

ISBN. Copyright by Wydawnictwo BTC Legionowo 2010 Książka jest praktycznym przewodnikiem po rodzinie mikrokontrolerów LPC2000 (rdzeń ARM7TDMI) oraz sposobach ich programowania w języku C. Omówiono w niej zarówno budowę i działanie bloków peryferyjnych,

Bardziej szczegółowo

MikloBit ul. Cyprysowa 7/5 43-600 Jaworzno. www.miklobit.com support@miklobit.com. JTAG + ISP dla AVR. rev. 1.1 2006.03.

MikloBit ul. Cyprysowa 7/5 43-600 Jaworzno. www.miklobit.com support@miklobit.com. JTAG + ISP dla AVR. rev. 1.1 2006.03. MikloBit ul. Cyprysowa 7/5 43-600 Jaworzno www.miklobit.com support@miklobit.com JTAG + ISP dla AVR rev. 1.1 2006.03.10 Spis treści 1.Wprowadzenie... 3 2.Interfejs JTAG... 4 2.1.Złącze interfejsu JTAG...

Bardziej szczegółowo

Płytka uruchomieniowa XM32

Płytka uruchomieniowa XM32 2015 Płytka uruchomieniowa XM32 Instrukcja obsługi - www.barion-st.com 2015-08-07 2 SPIS TREŚCI 1. WSTĘP... 3 1.1 Co to jest XM32?... 3 1.2 Budowa oraz parametry techniczne... 3 1.3 Schemat połączeń...

Bardziej szczegółowo

Kurs programowania mikrokontrolerów ARM z rodziny Cortex-M3

Kurs programowania mikrokontrolerów ARM z rodziny Cortex-M3 Kurs programowania mikrokontrolerów ARM z rodziny Cortex-M3 organizowany przez: Koło Naukowe Mikrosystemów ONYKS we współpracy z: Wydawnictwem BTC Polskim przedstawicielstwem STMicroelectronics Plan spotkania

Bardziej szczegółowo

1.1 Co to jest USBCOM?... 3 1.2 Budowa oraz parametry techniczne... 3

1.1 Co to jest USBCOM?... 3 1.2 Budowa oraz parametry techniczne... 3 2014 Konwerter USBCOM Instrukcja obsługi www.barion-st.com 2014-09-30 2 SPIS TREŚCI 1. WSTĘP... 3 1.1 Co to jest USBCOM?... 3 1.2 Budowa oraz parametry techniczne... 3 2. OBSŁUGA URZĄDZENIA... 5 2.1 Instalacja

Bardziej szczegółowo

Programator ICP mikrokontrolerów rodziny ST7. Full MFPST7. Lite. Instrukcja użytkownika 03/09

Programator ICP mikrokontrolerów rodziny ST7. Full MFPST7. Lite. Instrukcja użytkownika 03/09 Full Lite MFPST7 Programator ICP mikrokontrolerów rodziny ST7 Instrukcja użytkownika 03/09 Spis treści WSTĘP 3 CZYM JEST ICP? 3 PODŁĄCZENIE PROGRAMATORA DO APLIKACJI 4 OBSŁUGA APLIKACJI ST7 VISUAL PROGRAMMER

Bardziej szczegółowo

Moduł prototypowy.. Leon Instruments. wersja 1.0

Moduł prototypowy.. Leon Instruments. wersja 1.0 wersja 1.0 Moduł extrino XL umożliwia prototypowanie urządzeń z wykorzystaniem procesora ATmega128A3U-AU AU oraz naukę programowania nowoczesnych mikrokontrolerów z serii XMEGA firmy Atmel. Moduł znajdzie

Bardziej szczegółowo

2. Code Composer Studio v4 zintegrowane środowisko projektowe... 41

2. Code Composer Studio v4 zintegrowane środowisko projektowe... 41 3 Wstęp...11 1. Procesory serii TMS320F2802x/3x/6x Piccolo... 15 1.1. Organizacja układów procesorowych serii F2802x Piccolo...23 1.2. Organizacja układów procesorowych serii F2803x Piccolo...29 1.3. Organizacja

Bardziej szczegółowo

ZL11ARM. Uniwersalna płyta bazowa

ZL11ARM. Uniwersalna płyta bazowa ZL11ARM Uniwersalna płyta bazowa dla modułów diparm ZL11ARM to uniwersalna płyta bazowa dla modułów diparm (np. ZL12ARM i ZL19ARM) z mikrokontrolerami wyposażonymi w rdzenie ARM produkowanymi przez różnych

Bardziej szczegółowo

ZL11PRG v.2. Uniwersalny programator ISP. Odpowiednik: Byte Blaster II DLC5 Programmer AT89ISP STK-200 Lattice ISP ARM Wiggler

ZL11PRG v.2. Uniwersalny programator ISP. Odpowiednik: Byte Blaster II DLC5 Programmer AT89ISP STK-200 Lattice ISP ARM Wiggler ZL11PRG v.2 Uniwersalny programator ISP Odpowiednik: Byte Blaster II DLC5 Programmer AT89ISP STK-200 Lattice ISP ARM Wiggler Nowoczesna konstrukcja czyni z programatora ZL11PRG v.2 urządzenie niezwykle

Bardziej szczegółowo

Programowalne Układy Cyfrowe Laboratorium

Programowalne Układy Cyfrowe Laboratorium Zdjęcie opracowanej na potrzeby prowadzenia laboratorium płytki przedstawiono na Rys.1. i oznaczono na nim najważniejsze elementy: 1) Zasilacz i programator. 2) Układ logiki programowalnej firmy XILINX

Bardziej szczegółowo

SYSTEMY CZASU RZECZYWISTEGO (SCR)

SYSTEMY CZASU RZECZYWISTEGO (SCR) Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania SYSTEMY CZASU RZECZYWISTEGO (SCR) Podstawy programowanie systemów wbudowanych na bazie platformy sprzętowo-programowej

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH. PROCESORY OSADZONE kod kursu: ETD 7211 SEMESTR ZIMOWY 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH. PROCESORY OSADZONE kod kursu: ETD 7211 SEMESTR ZIMOWY 2017 Politechnika Wrocławska, Wydział Elektroniki Mikrosystemów i Fotoniki Wydziałowy Zakład Metrologii Mikro- i Nanostruktur LABORATORIUM UKŁADÓW PROGRAMOWALNYCH PROCESORY OSADZONE kod kursu: ETD 7211 SEMESTR

Bardziej szczegółowo

MOD Xmega explore z ATXmega256A3BU. sklep.modulowo.pl akademia.modulowo.pl zestawy.modulowo.pl app.modulowo.pl blog.modulowo.

MOD Xmega explore z ATXmega256A3BU. sklep.modulowo.pl akademia.modulowo.pl zestawy.modulowo.pl app.modulowo.pl blog.modulowo. MOD - 11 Xmega explore z ATXmega256A3BU Sklep firmowy: Kursy i instrukcje: Dokumentacje techniczne: Aplikacje i projekty: Aktualności: sklep.modulowo.pl akademia.modulowo.pl zestawy.modulowo.pl app.modulowo.pl

Bardziej szczegółowo

Język C. Wykład 9: Mikrokontrolery cz.2. Łukasz Gaweł Chemia C pokój 307

Język C. Wykład 9: Mikrokontrolery cz.2. Łukasz Gaweł Chemia C pokój 307 Język C Wykład 9: Mikrokontrolery cz.2 Łukasz Gaweł Chemia C pokój 307 lukasz.gawel@pg.edu.pl Pierwszy program- powtórka Częstotliwość zegara procesora μc (należy sprawdzić z kartą techniczną μc) Dodaje

Bardziej szczegółowo

Instrukcja użytkownika KRISTECH, 2016

Instrukcja użytkownika KRISTECH, 2016 Konwerter Ethernet na RS-232 Instrukcja użytkownika KRISTECH, 2016 www.kristech.eu ver. 27.10.2016-A 1. Wprowadzenie jest konwerterem Ethernet na port szeregowy RS-232. Konwerter umożliwia wygodny dostęp

Bardziej szczegółowo