Architektura przetworników A/C. Adam Drózd

Podobne dokumenty
Architektura przetworników A/C

Przetworniki cyfrowo analogowe oraz analogowo - cyfrowe

Struktury specjalizowane wykorzystywane w mikrokontrolerach

Klasyfikacja metod przetwarzania analogowo cyfrowego (A/C, A/D)

Podstawowe funkcje przetwornika C/A

Przetworniki A/C. Ryszard J. Barczyński, Materiały dydaktyczne do użytku wewnętrznego

ĆWICZENIE nr 3. Badanie podstawowych parametrów metrologicznych przetworników analogowo-cyfrowych

PRZETWORNIKI A/C I C/A.

Zastosowania mikrokontrolerów w przemyśle

Metody przetwarzania. Dr inż. Janusz MIKOŁAJCZYK

KOMPUTEROWE SYSTEMY POMIAROWE

Definicja kwantowania i próbkowania Sieci rezystorowe R-2R w przetwornikach C/A Klasyfikacja metody przetwarzania A/C Przetwarzanie A/C typu sigma

Przetwarzanie analogowo-cyfrowe sygnałów

Liniowe układy scalone. Elementy miernictwa cyfrowego

Układy sekwencyjne. Podstawowe informacje o układach cyfrowych i przerzutnikach (rodzaje, sposoby wyzwalania).

Wzmacniacze operacyjne

PL B1. Układ do pośredniego przetwarzania chwilowej wielkości napięcia elektrycznego na słowo cyfrowe

BADANIE PRZERZUTNIKÓW ASTABILNEGO, MONOSTABILNEGO I BISTABILNEGO

Przetworniki analogowo - cyfrowe CELE ĆWICZEŃ PODSTAWY TEORETYCZNE Zasada pracy przetwornika A/C

Przetworniki C/A. Ryszard J. Barczyński, 2016 Materiały dydaktyczne do użytku wewnętrznego

Laboratorium Analogowych Układów Elektronicznych Laboratorium 6

Teoria przetwarzania A/C i C/A.

Liniowe układy scalone. Przetwarzanie A/C, C/A część 2

Przetworniki AC i CA

Wielkość analogowa w danym przedziale swojej zmienności przyjmuje nieskończoną liczbę wartości.

Układy arytmetyczne. Joanna Ledzińska III rok EiT AGH 2011

Badanie przetworników A/C i C/A

Metody wprowadzania informacji cyfrowej o wyniku pomiaru do komputera

Ćw. 7 Przetworniki A/C i C/A

Liniowe układy scalone w technice cyfrowej

Przetworniki analogowo-cyfrowe - budowa i działanie" anie"

Statyczne badanie wzmacniacza operacyjnego - ćwiczenie 7

Liniowe układy scalone

KOMPUTEROWE SYSTEMY POMIAROWE

Zaprojektowanie i zbadanie dyskryminatora amplitudy impulsów i generatora impulsów prostokątnych (inaczej multiwibrator astabilny).

Przykładowe zadanie praktyczne

Przetworniki cyfrowo-analogowe C-A CELE ĆWICZEŃ PODSTAWY TEORETYCZNE

PODSTAWY ELEKTRONIKI TEMATY ZALICZENIOWE

Przetwornik analogowo-cyfrowy

KATEDRA ELEKTRONIKI AGH WYDZIAŁ EAIIE. Dydaktyczny model 4-bitowego przetwornika C/A z siecią rezystorów o wartościach wagowych

Układy akwizycji danych. Komparatory napięcia Przykłady układów

Badanie właściwości tłumienia zakłóceń woltomierza z przetwornikiem A/C z dwukrotnym całkowaniem

Uniwersytet Pedagogiczny im. Komisji Edukacji Narodowej w Krakowie

Modulatory PWM CELE ĆWICZEŃ PODSTAWY TEORETYCZNE

Przetworniki analogowo-cyfrowe (A/C)

PL B1 (13) B1. (54) Sposób i układ do pomiaru energii elektrycznej G 01R 21/127. (73) Uprawniony z patentu: (43) Zgłoszenie ogłoszono:

Ćw. 7: Układy sekwencyjne

PRZETWORNIK ADC w mikrokontrolerach Atmega16-32

f we DZIELNIKI I PODZIELNIKI CZĘSTOTLIWOŚCI Dzielnik częstotliwości: układ dający impuls na wyjściu co P impulsów na wejściu

dwójkę liczącą Licznikiem Podział liczników:

Przetworniki A/C i C/A w systemach mikroprocesorowych

PRZETWORNIKI C / A PODSTAWOWE PARAMETRY

Instrukcja nr 6. Wzmacniacz operacyjny i jego aplikacje. AGH Zespół Mikroelektroniki Układy Elektroniczne J. Ostrowski, P. Dorosz Lab 6.

Temat: Wzmacniacze operacyjne wprowadzenie

Próbkowanie czyli dyskretyzacja argumentów funkcji x(t)) polega na kolejnym pobieraniu próbek wartości sygnału w pewnych odstępach czasu.

Przetworniki Analogowo - Cyfrowe i Cyfrowo - Analogowe. mgr inż. Arkadiusz Cimiński

PL B1. Układ do przetwarzania interwału czasu na słowo cyfrowe metodą kompensacji wagowej

Procedury obsługi monolitycznego przetwornika analogowo-cyfrowego AD 7865

Przetworniki Analogowo-Cyfrowe i Cyfrowo-Analogowe Laboratorium Techniki Cyfrowej Ernest Jamro, Katedra Elektroniki, AGH, Kraków,

P-1a. Dyskryminator progowy z histerezą

Przetworniki A/C i C/A w systemach mikroprocesorowych

Komputerowe systemy pomiarowe. Podstawowe elementy sprzętowe elektronicznych układów pomiarowych część II

Wykład nr

a) dolno przepustowa; b) górno przepustowa; c) pasmowo przepustowa; d) pasmowo - zaporowa.

WOLTOMIERZ CYFROWY. Metoda czasowa prosta. gdzie: stała całkowania integratora. stąd: Ponieważ z. int

U 2 B 1 C 1 =10nF. C 2 =10nF

OPIS PATENTOWY RZECZPOSPOLITA POLSKA URZĄD PATENTOWY

Wzmacniacz operacyjny

Rys. 1. Sposób podłączenia przetworników z płytką Nexys 4.

Komputerowe systemy pomiarowe. Podstawowe elementy sprzętowe elektronicznych układów pomiarowych

1. Poznanie właściwości i zasady działania rejestrów przesuwnych. 2. Poznanie właściwości i zasady działania liczników pierścieniowych.

Podstawowe zastosowania wzmacniaczy operacyjnych

5 Filtry drugiego rzędu

Temat: Projektowanie i badanie liczników synchronicznych i asynchronicznych. Wstęp:

PRZYRZĄDY POMIAROWE. Publikacja współfinansowana ze środków Unii Europejskiej w ramach Europejskiego Funduszu Społecznego

Imię i nazwisko (e mail) Grupa:

WSTĘP DO ELEKTRONIKI

LICZNIKI Liczniki scalone serii 749x

Podstawy elektroniki cz. 2 Wykład 2

Przetworniki analogowe / cyfrowe (A/C) gły analogowymi kodowane sygnały cyfrowe Przetwarzanie dyskretyzacji sygnału w czasie próbkowaniu)

AKADEMIA MORSKA KATEDRA NAWIGACJI TECHNICZEJ

Wzmacniacz operacyjny

Moduł wejść/wyjść VersaPoint

Zakres wymaganych wiadomości do testów z przedmiotu Metrologia. Wprowadzenie do obsługi multimetrów analogowych i cyfrowych

PODSTAWY ELEKTRONIKI I TECHNIKI CYFROWEJ

Uproszczony schemat blokowy konwertera analogowo-cyfrowego przedstawiony został na rys.1.

Przetwornik analogowo-cyfrowy, zbudowany w oparciu o przetwornik cyfrowo-analogowy.

WZMACNIACZ OPERACYJNY

Rys. Podstawowy system przetwarzania cyfrowego sygnałów analogowych

ĆWICZENIE 2 Wzmacniacz operacyjny z ujemnym sprzężeniem zwrotnym.

ćw. Symulacja układów cyfrowych Data wykonania: Data oddania: Program SPICE - Symulacja działania układów liczników 7490 i 7493

Dynamiczne badanie wzmacniacza operacyjnego- ćwiczenie 8

Przetwarzanie A/C i C/A

Przetworniki analogowo-cyfrowe

Ćwiczenie 21 Temat: Komparatory ze wzmacniaczem operacyjnym. Przerzutnik Schmitta i komparator okienkowy Cel ćwiczenia

Wzmacniacze operacyjne.

LABORATORIUM ELEKTRONICZNYCH UKŁADÓW POMIAROWYCH I WYKONAWCZYCH. Badanie detektorów szczytowych

KWANTYZACJA. kwantyzacja

PL B1. POLITECHNIKA WROCŁAWSKA, Wrocław, PL BUP 07/10. ZDZISŁAW NAWROCKI, Wrocław, PL DANIEL DUSZA, Inowrocław, PL

Projekt z przedmiotu Systemy akwizycji i przesyłania informacji. Temat pracy: Licznik binarny zliczający do 10.

Transkrypt:

Architektura przetworników A/C Adam Drózd

Rozdział 1 Architektura przetworników A/C Rozwój techniki cyfrowej spowodował opacownie wielu zasad działania i praktycznych rozwiązań przetworników analogowo cyfrowych dla różnych zastosowań. Ze względu na rozwiązania konstrukcyjne przetworniki a/c można podzielić na: przetworniki z bezpośrednim porównaniem, potokowe, realizujące metodę kolejnych przybliżeń oraz przetworniki z nadpróbkowaniem.istnieje w literaturze również podział na przetworniki dokładne (duża rozdzielczość) i szybkie.[3] Oczywiście większa dokładność przekłada się na mniejszą szybkość działania przetwornika. 1.1 Przetworniki równoległe Flash Przetworniki tego typu są najszybsze ze wszystkich rodzajów przetworników analogowo cyfrowych. Schemat przedstawiony jest na rys. 1.1. Metoda przetwarzania amplitudy sygnału analogowego na N bitową postać cyfrową, polega na jednoczesnym porównaniu tego sygna- 2

ROZDZIAŁ 1. ARCHITEKTURA PRZETWORNIKÓW A/C 3 Rys. 1.1: Schemat przetwornika równoległego Flash łu za pomocą 2 n 1 komparatorów z odpowiednimi częściami napięcia odniesienia, wytworzonymi za pomocą dzielnika rezystorowego. Dzielnik taki składa się z 2 n rezystorów. Kod na wyjściach komparatorów określany jest jako kod termometryczny. Sygnały wyjściowe wszystkich komparatorów o napięciu odniesienia V ref mnijeszym lub równym napięciu wejściowemu V in, są równe 1, a pozostałe mają wartość zero. Układ dekodera priorytetowego dokonuje konwersji kombinacji sygnałów logicznych na wyjściach komparatorów na N bitowe słowa cyfrowe. Oczywistą zaletą tego przetwornika jest szybkość przetwarzania. Każdy cykl generuje kolejne słowo cyfrowe. Jednak szybkość tego przetwornika jest okupiona przez zajmowaną powierzchnię. Również z każdym kolejnym bitem jego powierzchnia podwaja się, np.: przetwornik 8 bitowy zawiera 255 komparatorów, natomiast 9 bitowy potrzebuje 511 komparatorów. Przetworniki typu F lash tradycyjnie ograniczone były do 8 bitów

ROZDZIAŁ 1. ARCHITEKTURA PRZETWORNIKÓW A/C 4 i prędkości przetwarzania od 10 do 40 MHz.Wadą tego typu przetworników jest zajmowana powierzchnia jak i duże zapotrzebowanie mocy dla 2 n 1 komparatorów. Szybkość przetwarzania ograniczona jest przez komparator i logikę cfrową. 1.2 Przetworniki szeregowo równoległe (The Two Step Flash ADC) Inny rodzaj przetwornika typu Flash nazywany jest przetwornikiem dwukrokowym lub szeregowo równoległym. W przetworniku tym każdy krok określa jednocześnie kilka (zwykle połowę) bitów kodu wyjściowego.[1] Schemat przetwornika pokazano na rysunku 1.2. Rys. 1.2: Szeregowo równoległy przetwornik a/c Zasada działania przetwornika szeregowo równoległego wymaga zastosowania układu próbkująco pamiętającego (ang. sample-and-hold SH). Przetwornik dwukrokowy składa się z dwóch przetworników analogowo cyfrowych. Pierwszy przetwornik generuje sygnały odpowiadające przybliżonej wartości napięcia wejściowego, które następnie podawane są na drugi, precyzyjny przetwornik a/c. Zaletą tego

ROZDZIAŁ 1. ARCHITEKTURA PRZETWORNIKÓW A/C 5 przetwornika jest zmniejszenie liczby komparatorów z 2 n 1 w przetwornikach typu Flash do 2(2 n/2 1). Zasada działania: 1. Napięcie wejściowe jest próbkowane, a następnie najbardziej znaczący bit (MSB) jest przetwarzany przez pierwszy przetwornik analogowo cyfrowy typu Flash. 2. Otrzymane słowo cyfrowe jest przetwarzane przez przetwornik cyfrowo analogowy, a sygał wyjściowy jest odejmowany od sygnału wejściowego. 3. Różnica ta jest nieprzetworzoną jeszcze resztą sygnału wejściowego, która po wzmocnieniu 2 n/2 razy pozwala na zastosowanie dwóch identycznych przetworników a/c oraz na zwiększenie poziomu kwantowania sygnału wejściowego dla drugiego przetwornika. 4. Najmniej znaczący bit (LSB) jest generowany przez drugi przetwornik typu Flash. Połączone kody z pierwszego i drugiego przetwornika a/c stanowią kod wyjściowy szeregowo równoległego przetwornika a/c. Przetworniki szeregowo równoległe są stosowane przy częstotliwościach przetwarzania 1 20MHz, przy rozdzielczości 9 12 bitów.[2] 1.3 Przetwornik potokowy (ang. Pipeline) Przetwornik analogowo cyfrowy typu pipeline jest n krokowym przetwornikiem, gdzie jeden bit jest generowany w jednym kroku. Uzyskanie wysokiej rozdzielczości, przy stosunkowo dużej szybkości przetwarzania, jest możliwe poprzez połączenie każdego stopnia przetwarzającego w szereg (rys. 1.3). Każdy stopień składa się z komparatora, układu próbkująco pamiętającego, sumatora i wzmacniacza

ROZDZIAŁ 1. ARCHITEKTURA PRZETWORNIKÓW A/C 6 Rys. 1.3: Schemat blokowy przetwornika a/c typu pipeline o wzmocnieniu 2. Zasada działania: 1. Napięcie wejściowe jest próbkowane i zapamiętywane w układzie SH, a następnie porównywane z napięciem V ref /2. Wyjście każdego komparatora to jeden element wyjściowego słowa cyfrowego. 2. Jeżeli napięcie V IN > V ref /2 (wyjście komparatora jest równe 1) to napięcie V ref /2 jest odejmowane od sygnału wejściowego, zapamiętanego w układzie SH. Jeśli natomiast napięcie V IN < V ref /2 (wyjście komparatora jest równe 0), to oryginalny sygnał wejściowy jest podawany na wejście wzmacniacza. Sygnał wyjściowy każdego stopnia jest przenoszony dalej jako reszta. 3. Wzmacniacz mnoży wynik sumowania przez 2 i podaje sygnał do układu próbkująco pamiętającego następego stopnia. Główną zaletą przetwornika typu Pipeline jest jego duża przepustowość. Podczas gdy reszta z pierwszego stopnia jest przetwarzana przez stopień drugi, pierwszy stopień może próbkować kolejny sygnał. Pozwala to na szybkie przetwarzanie sygnału analogowego. Wadą natomiast jest uzyskanie kodu cyfrowego odpowiadającego napięciu wejściowemu dopiero po N cyklach zegara.

ROZDZIAŁ 1. ARCHITEKTURA PRZETWORNIKÓW A/C 7 Dokladność zależy przede wszystkim od dokładności pierwszego stopnia, ponieważ błąd w pierwszym stopniu pociąga za sobą błędy w każdym następnym. 1.4 Przetworniki całkujące Innym typem przetworników są przetworniki całkujące. Układ całkuje napięcie wejściowe i zlicza czas tego całkowania. Układy całkujące używane są w systemach dużej rozdzielczości, ale są stosunkowo wolne. 1.4.1 Przetwornik analogowo cyfrowy z pojedynczym całkowaniem Prztewornik a/c z pojedynczym całkowaniem znany jest również pod nazwą przetwornik a/c z rozładowaniem liniowym (ang. single slope adc). Schemat przedstawiony jest na rysunku 1.4. Npięcie odniesienia V ref podawane jest na układ całkujący - integrator. Licznik zlicza liczbę impulsów zegarowych do momentu gdy wartość napięcia z integratora będzie równa napięciu wejściowemu. Liczba zliczonych impulsów zegarowych jest proporcjonalna do napięcia wejściowego, a wyjście licznika jest reprezentacją cyfrową napięcia wejściowego. Ponieważ napięcie referencyjne V ref jest stałe to napięcie wyjściowe z integratora wzrasta liniowo od zera. Kiedy napięcie to przewyższy napięcie V in wyjście komparatora przełączy, sterując logikę cyfrową i stan licznika zostaje zapamiętany. Logika cyfrowa zeruje układ, przygotowując go do kolejnego przetwarzania. Działanie to zostało zilustrowane na rysunku 1.5. Należy pamiętać aby częstotliwość impusów generatora zegarowego była dostosowana do napięcia wejściowego. Im większa ilość zliczonych impulsów zegarowych tym

ROZDZIAŁ 1. ARCHITEKTURA PRZETWORNIKÓW A/C 8 Rys. 1.4: Schemat przetwornika z pojedynczym całkowaniem Rys. 1.5: Wykresy dla przetwornika z pojedynczym całkowaniem większa dokładność przetwornika. Czas konwersji t c jest zależny od wartości napięcia wejściowego i może być opisany równaniem: t c = V In V Ref RC (1.1)

ROZDZIAŁ 1. ARCHITEKTURA PRZETWORNIKÓW A/C 9 Wartość cyfrowa na wyjściu jest równa: N = t c f c (1.2) f c częstotliwość generatora zegarowego.[3] 1.4.2 Przetwornik analogowo cyfrowy z podwójnym całkowaniem Przetwornik z podwójnym całkowaniem (rys. 1.6) jest bardziej rozbudowanym układem, który eliminuje większość problemów spotykanych w przetwornikach z pojednczym całkowaniem. Częstotliwość generatora zegarowego nie jest tu parametrem krytycznym. Zastosowano tu podwójne całkowanie: pierwsze dla sygnału wejścio- Rys. 1.6: Schemat przetwornika z podwójnym całkowaniem wego, a drugie dla napięcia referencyjnego. Sygnał wejściowy, spróbkowany i zapamiętany, jest poddawany całkowaniu w czasie określonym przez pełny zakres zliczania impulsów w liczniku. W efekcie otrzymujemy zbocze narastające pokazane na rysunku 1.7. Następnie napięcie odniesienia jest podłączone do wejścia odwracającego integratora. Licznik zlicza impulsy zegarowe do momentu gdy komparator przełączy. Stan licznika reprezentuje cyfrową wartość sygnału wejściowego.

ROZDZIAŁ 1. ARCHITEKTURA PRZETWORNIKÓW A/C 10 Rys. 1.7: Wykresy dla przetwornika z podwójnym całkowaniem 1.5 Przetworniki realizujące metodę kolejnych przybliżeń Metoda kolejnych przybliżeń, określana również jako metoda z aproksymacją krokową, polega na wykonaniu n porównań napięcia przetwarzanego U I z n różnymi napięciami wzorcowymi (spośród n możliwych), przy czym wybór kolejnego napięcia wzorcowego zależy od wyniku porównania w poprzednim kroku. Zasada postępowania jest taka, by w każdym kroku określać z dwukrotnie większą dokładnością, do którego z 2 n przedziałów napięć, na które podzielony jest zakres zmian napięcia wejściowego 0 U F S należy napięcie U I.[1] W kroku pierwszym zawsze porównuje się napięcie wejściowe U I z napięciem 1U 2 F S. Jeżeli wynik porównania wskaże, że U I < 1U 2 F S, to najbardziej znaczącemu bitowi a 1 (MSB) słowa kodowego przypisuje się wartość logiczną zero i w drugim kroku porównuje się napięcie U I z wartością 1U 4 F S. Jeżeli w pierwszym kroku wynik komparacji wskaże, że U I > 1U 2 F S, to bit a 1 przyjmuje wartość jeden i w drugim kroku dokonuje się porównania napięcia U I z wartością 3U 4 F S. Po wykonaniu n kroków określone będą wszystkie bity słowa kodowego. Na rysunku 1.8 przedstawiono poglądowo zasadę rozgałęziania w kolejnych krokach.

ROZDZIAŁ 1. ARCHITEKTURA PRZETWORNIKÓW A/C 11 Rys. 1.8: Ilustracja metody kolejnych przybliżeń 1.5.1 Przetwornik analogowo cyfrowy oparty na przełączanych pojemnościach Technika sukcesywnego porównywania (zwana również równoważenia ładunku) jest bardzo popularną w projektowaniu analogowo cyfrowych przetworników, ponieważ oferuje najlepsze rozwiązanie pomiędzy prędkością, rozdzielczością i zajmowaną przestrzenią. [?] Przetwornik A/C składa się z matrycy kondensatorów o wartościach ważonych binarnie, jednego kondensatora o wadze odpowiadającej najmniej znaczącemu bitowi, komparatora napięcia oraz kluczy łączących okładki kondensatorów z odpowiednimi napięciami. Schemat przedstawiony jest na rys 1.9. Konwersja składa się z trzech etapów: próbkowania, fazy przejściowej oraz porównywania. 1. W pierwszym kroku dolne okładki kondensatora są podłączone do napięcia wejściowego V in podczas gdy górne podłączone są

ROZDZIAŁ 1. ARCHITEKTURA PRZETWORNIKÓW A/C 12 Rys. 1.9: Schemat przetwornika a/c z równoważeniem ładunku. do napięcia referencyjnego V ref. 2. W drugim kroku dolne końcówki są przełączone do masy, a klucz łączący górne końcówki do V ref jest otwarty. W tym kroku napięcie na górnych okładkach zmienia się z V ref do V ref V in. 3. W ostatnim kroku przetwornik wchodzi w stan porównywania i szuka kodu cyfrowego odpowiadającego napięciu wejściowemu. W pierwszym cyklu największy kondensator podłączany jest do napięcia V ref. W rezultacie napięcie na górnej okładce jest równe: V ref V in + V ref /2. Napięcie różnicowe pomiędzy wejściami komparatora wynosi teraz V in + V ref /2. Jeśli napięcie to jest mniejsze od 0 komparator wystawia logiczną 1 i największy kondensator pozostaje podłączony do V ref. W przeciwnym razie kondensator ten przełączony zostaje do masy. W ten sposób najbardziej znaczący bit jest ustalony i zapamiętany. W ten sam sposób ustalane są kolejne bity. 1.6 Przetwornik sigma delta W przetwornikach z modulatorem sigma delta częstotliwość próbkowania jest dużo większa od czętotliwości Nyquista, co umożliwia kształtowanie szumu kwantyzacji. Istnieje wiele rozwiązań prze-

ROZDZIAŁ 1. ARCHITEKTURA PRZETWORNIKÓW A/C 13 tworników Σ, ale zasada działania jest taka sama. W pierwszym etapie sygnał wejściowy jest całkowany, a następnie kwantyzowany za pomocą przetwornika a/c. System zawiera również wielobitowy przetwornik c/a do rekonstrukcji sygnału analogowego. Modulator sigma delta przekształca analogowy sygnał wejściowy w zmodulowany impulsowo 1 bitowy strumień cyfrowy o częstotliwości próbkowania dużo większej niż częstotliowść Nyquista. Strumień ten jest zmodulowany sygnałem wejściowym, tak aby liczba impulsów w zadanym przedziale czasu T c, nazywanym okresem przetwarzania, odpowiadała wartości sygnału wejściowego oraz aby impulsy były możliwie równomiernie rozłożone w całym przedziale T c.[4]w kolejnym kroku za pomocą filtru cyfrowego strumień 1 bitowy jest przekształcany w cyfrowy sygnał wyjściowy. W ostatnim etapie odfiltrowywany jest szum z 1 bitowego strumienia, który został tak ukształtowany przez modulator aby większość jego energii była poza sygnałem. 1.7 Porównanie Układ Budowa Napięcie Pasmo Rozdziel- SNR Moc zasilania sygnału wej. czość pobierana [V] [bit] [db] [mw] AD9066 flash 5 100 MHz 6-600 AD9240 flash, pipeline 5 70MHz 14 78,5 285 AD7273 SAR 3 1 MHz 10 70 13,5 AD7938 SAR 3; 5 50 khz 12 70 12 AD7721 Σ 5 229,2kHz 16/12-150 AD1175 wielokrotne 5 20 khz 22 90 200 całkowanie 1 www.analog.com 1

ROZDZIAŁ 1. ARCHITEKTURA PRZETWORNIKÓW A/C 14 Jak wynika z tabeli największą dokładność (22 bity) uzyskują przetworniki całkujące. Okupione jest to jednak szybkością przewarzania ograniczoną do 20 khz. Przetworniki typu SAR są szybsze, ale ich rozdzielczość spada do 12 bitów. Zdecydowanie jednak mają najmniejszy pobór prądu, co jest ich zaletą. Jak wcześniej wspomniano, do najszybszych przetworników zalicza się przetworniki typu flash. Ich pasmo może sięgać 100 MHz przy 6 bitowej rozdzielczości, jednak charakteryzują się największym poborem mocy ze zródła.

Bibliografia 1. Z. Kulka, M.Nadachowski, A. Libura: Przetworniki analogowo cyfrowe i cyfrowo analogowe. () 2. J. Zabrodzki, M. Łakomy Scalone przetworniki analogowo cyfrowe i cyfrowo analogowe. (Warszawa PWN 1992) 3. Rudy van de Plassche: Scalone przetworniki a/c i c/a. (Warszawa WKŁ 1997) 4. K. Wawryn, R. Suszyńki: Współczesne przetworniki a/c wykonywane w technologii CMOS. (Elektronika 2002) 15