PROGRAMOWALNE UKŁADY CYFROWE

Wielkość: px
Rozpocząć pokaz od strony:

Download "PROGRAMOWALNE UKŁADY CYFROWE"

Transkrypt

1 Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział EAIiE Katedra Elektroniki PROGRAMOWALNE UKŁADY CYFROWE Ćwiczenie Projekt, symulacja, synteza i implementacja systemu cyfrowego w środowisku Xilinx s ISE Design Suite Zespół Rekonfigurowalnych Systemów Obliczeniowych Grzegorz Gancarczyk & Ernest Jamro

2 Niniejsza instrukcja do zajęć laboratoryjnych z przedmiotu Programowalne Układy Cyfrowe powstała na podstawie opracowania TUTORIAL do symulacji graficznej projektu w programie Xilinx ISE autorstwa dra inż. Ernesta Jamro.

3 . Wstęp Celem ćwiczenia jest zapoznanie Studentów ze środowiskiem projektowym Xilinx s ISE Design Suite. Jest ono powszechnie wykorzystywane do projektowania (Project Navigator), symulacji (ISim), testowania (ChipScope), syntezy i implementacji (Project Navitagor) systemów i układów cyfrowych opisanych przy pomocy któregoś z języków HDL (Hardware Description Language). Narzędzie ISE Design Suite posiada funkcjonalność, która znacząco wybiega poza ramy tego przedmiotu. Omówione zostaną jedynie jego najważniejsze, elementarne komponenty. W trakcie zajęć laboratoryjnych Studenci zostaną przeprowadzeni od początku do końca przez ścieżkę projektowania układu cyfrowego w języku VHDL (Very High Speed Integrated Circuits Hardware Description Language). Jako przykład posłuży rejestr FIFO (First In First Out). Niniejsza instrukcja ma za zadanie ułatwić Studentom realizację wybranego przez nich uprzednio zadania projektowego. Wymagania sprzętowe: komputer klasy PC spełniający wymagania sprzętowe aplikacji ISE Design Suite. Wymagania programowe: system operacyjny Windows XP lub wyższy, środowisko ISE Design Suite. Wymagane doświadczenie: podstawowa umiejętność obsługi komputera klasy PC, podstawowa znajomość systemów operacyjnych rodziny Windows, podstawowa wiedza na temat języków opisu sprzętu (HDL), podstawowa wiedza na temat elementów i układów elektronicznych.

4 . Tworzenie projektu w ISE Projekt zostanie stworzony przy użyciu przygotowanych wcześniej, specjalnie na potrzeby zajęć laboratoryjnych, plików... Repozytorium plików Pliki, które zostaną użyte do budowy projektu znajdują się pod adresem http w zakładce Dydaktyka Programowalne Układy Cyfrowe Laboratorium. Należy je stamtąd pobrać i zachować na dysku twardym komputera... Zakładanie nowego projektu Na pulpicie znajduje się ikona, przy pomocy której należy uruchomić program ISE Design Suite. Wygląd ikony może różnić się pomiędzy poszczególnymi edycjami ISE. Po kilkunastu/kilkudziesięciu sekundach otwarte zostanie okno programu Project Navigator. Widocznym będzie ostatnio używany projekt. Aby założyć nowy projekt proszę wybrać z menu File opcję New Project... 4

5 W nowo otwartym oknie czarodzieja należy stosownie i jednoznacznie: nazwać projekt (Name: np. pierwszy_projekt), ustawić ścieżki dostępu (Location: oraz Working Directory: np. C:\MyXilDesigns\PUC\NS\), a także: wybrać typ plików źródłowych (Top-level source type: HDL), kliknąć przycisk Next. 4 5

6 Pojawi się kolejne okno, w którym proszę wybrać: rodzinę układów Spartan 6 (Family Spartan6), układ xc6slx6 (Device XC6SLX6), typ obudowy csg4 (Package CSG4), opóźnienie (Speed -), narzędzie do syntezy (Synthesis Tool XST (VHDL/Verilog)), symulator ISim (Simulator ISim (VHDL/Verilog)), preferowany język VHDL (Preferred Language VHDL), standard języka (VHDL Source Analysis Standard VHDL-9), a następnie kolejno kliknąć przyciski Next i Finish Dołączanie źródeł Nowy projekt został właśnie założony. Pozostaje jeszcze dołączyć do niego pliki źródłowe. Dla potrzeb niniejszego wprowadzenia będzie to dokonywane stopniowo. Proszę rozpakować lub rozpakować i skopiować zawartość ściągniętego wcześniej archiwum do katalogu roboczego ISE DS (np. C:\MyXilDesigns\pierwszy_projekt). 6

7 Interesujące w tej chwili są jednie dwa pliki fifo.vhd (projekt układu cyfrowego wykonany w języku VHDL) oraz fifo_tb.vhd (test bench dla tego układu). Aby dołączyć nowe pliki do projektu należy kliknąć na ikonę Add Source, a następnie dodać stosowne pliki znajdujące się w katalogu roboczym ISE DS (katalog aktywnego projektu). 7

8 W oknie informującym o statusie dołączanych plików należy kliknąć przycisk OK. Jeśli wszystko przebiegło poprawnie, można przystąpić do symulacja działania rejestru FIFO. W przeciwnym wypadku proszę postarać się samodzielnie przezwyciężyć napotkane trudności. Jeśli okaże się to zbyt trudne, proszę zwrócić się o pomoc do osoby prowadzącej zajęcia. 8

9 . Symulacja w ISim Program symulacyjny ISim jest wbudowany w środowisko ISE Design Suite. Aby móc przeprowadzić symulację, należy wpierw poprawnie go skonfigurować... Konfiguracja symulacji Proszę kolejno: przełączyć program ISE Project Navigator z trybu implementacji (Implementation) w tryb symulacji (Simulation), zaznaczyć element, który będzie podlegał symulacji (fifo_tb - behavior), kliknąć prawym przyciskiem myszy na opcji Simulate Behavioral Model symulatora ISim, z menu kontekstowego wybrać opcję Process Properties

10 W nowo otwartym oknie należy określić czas symulacji (Simulation Run Time) jako 00 [ns], a następnie kolejno kliknąć na przyciski Apply i OK. Symulator został poprawnie skonfigurowany. Można teraz przystąpić do przeprowadzenia symulacji. 0

11 .. Symulacja Aby przeprowadzić symulację, należy dwukrotnie kliknąć prawym przyciskiem myszy na opcji Simulate Behavioral Model. Symulacja powinna zakończyć się po kilkudziesięciu sekundach. Gdy ulegnie zakończeniu, w konsoli (Console) programu Project Navigator pojawi się komunikat Process Simulate Behavioral Model completed successfully i otwarte zostanie nowe okno symulatora ISim. Będzie ono zawierało wykresy przebiegów podobne do tych zaprezentowanych poniżej. Aby jak najlepiej wykorzystać dostępną przestrzeń roboczą, proszę rozszerzyć przebiegi widoczne w oknie symulacji na całą jego szerokość. Najprościej i najskuteczniej zrobić to poprzez kliknięcie ikony Zoom to Full View.

12 Dobrym zabiegiem będzie również zmiana formatu (radix) wyświetlanych danych na bardziej czytelny. W tym celu proszę zaznaczyć sygnały din[7:0] oraz dout[7:0], i z menu kontekstowego wybrać opcję Radix Hexadecimal. 4

13 Proszę wykonać kolejno następujące polecenia: wybrać moduł uut w oknie Instance and Process Name, zaznaczyć sygnały empty i count_dif[:0] w oknie Objects, dodać (metodą przeciągnij i upuść) zaznaczone sygnały do okna symulacji, zrestartować symulację klikając na ikonę Reset, ustawić czas symulacji na 400 [ns], uruchomić symulację poprzez kliknięcie na ikonę Run for the time specified on the toolbar.

14 Proszę uważnie prześledzić przebiegi otrzymane w trakcie symulacji. Szczególną uwagę należy zwrócić na linie: danych wejściowych (din[7:0]), danych wyjściowych (dout[7:0]), gotowości do zapisu danych wejściowych (stbi), potwierdzenia zapisu danych wejściowych (acki), gotowości do wystawienia danych wyjściowych (stbo), potwierdzenia wystawienia danych wyjściowych (acko), określającą ilość danych wewnątrz bufora (count_dif), zapełnienia bufora (full). Wartość 0 na linii count_dif oznaczać może zarówno brak danych wewnątrz bufora, jak i jedną daną wewnątrz bufora. Położenie wykresów w poziomie można swobodnie zmieniać przy użyciu kursora myszy. Odpowiednie ułożenie obserwowanych linii znacznie ułatwi zrozumienia zasady działania bufora FIFO i pomoże w odpowiedzi na poniższe pytania.. Do czego służy sygnał almost_empty?. Kiedy aktywnym staje się sygnał almost_full?. Co sygnalizuje linia half_full? 4. Czy analizowany bufor FIFO ulega przepełnieniu lub niedopełnieniu? Uwaga. Zespół, który jako pierwszy odpowie poprawnie na wszystkie pytania otrzyma +. New. Należy utworzyć nowy przebieg czasowy. W tym celu proszę wybrać z menu File opcję W nowym oknie należy wybrać opcję Wave Configuration i kliknąć na przycisk OK. 4

15 Proszę wybrać moduł sr i dodać do symulacji wszystkie jego sygnały tak, jak pokazano to na rysunku poniżej. Ostatni krok dotyczący symulacji polega na jej zresetowaniu, a następnie powtórnemu uruchomieniu dla czasu trwania jak uprzednio. Proszę zaobserwować i przeanalizować otrzymane przebiegi. Moduł sr odpowiada rejestrowi przesuwnemu (SRL) umiejscowionemu w pamięci LUT (Look-Up Table) wewnątrz układu FPGA. Linia adr[0:] określa, który rejestr jest aktualnie odczytywany. Jest ona tożsama z analizowany uprzednio sygnałem count_dif. 5

16 4. Płyta ewaluacyjna Nexys Sprzętowa implementacja rejestru FIFO zostanie przeprowadzona z użyciem układu FPGA z rodziny Spartan 6, który znajduje się na płycie ewaluacyjnej Nexys firmy Digilent. Płyta będzie zasilana i programowana z komputera PC przy pomocy interfejsu USB.0. Przewód USB, który do tego posłuży dołączono do zestawu. Proszę dopilnować, aby został on poprawnie dołączony do komputera oraz płyty Nexys, tj. złączem USB do gniazda w komputerze, a złączem mini USB do gniazda mini USB znajdującego się na płycie Nexys, oznaczonego jako USB PROG. Należy dopilnować również, aby zworka na złączce oznaczonej JP znalazła się w położeniu USB. Po podłączeniu przewodu do komputera oraz płyty, powinno nastąpić jej ożywienie (zapalić powinny się diody oznaczone jako LD9 DONE sygnalizuje poprawne zakończenie rekonfiguracji układu FPGA z pamięci PROM oraz LD8 POWER sygnalizuje obecności napięcia zasilającego). Jeśli tak się nie stało, proszę ustawić przełącznik oznaczony jako SW8 POWER wyłącza zasilanie w położeniu ON. Jeśli w tym momencie płyta Nexys dalej pozostaje nieaktywna, należy zwrócić się o pomoc do osoby prowadzącej zajęcia

17 W narzędziu Project Navigator proszę przełączyć tryb widoku (View) z symulacji (Simulation) na implementację (Implementation). Analizowany i symulowany poprzednio układ FIFO wymaga podania na jego wejście clk sygnału zegarowego. Na płycie Nexys znajduje się oscylator o częstotliwości 00 [MHz], oznaczony jako IC9, który zostanie wykorzystany w tym projekcie jak sygnał taktujący. Częstotliwość 00 [MHz] jest zdecydowania za duża, by możliwą była przy niej obserwacja zasady działania rejestru FIFO. Musi ona zostać obniżona. Zostanie zmniejszona do [Hz] przez moduł o nazwie prescaler. Moduł ten, napisany w języku VHDL, dołączony był do repozytorium plików i obecnie powinien znajdować się w katalogu roboczym ISE. Proszę dołączyć go teraz do projektu. Osoby zainteresowane mogą zaznajomić się z jego opisem w języku VHDL i zasadą działania. 7

18 Do wprowadzenia 8 bitów danych wejściowych na port wejściowy din[0:7] modułu FIFO, posłuży 8 przełączników typu Dip Switch oznaczonych kolejno SW0 SW7. Wartość liczbową zarówno danych wejściowych, jak i wyjściowych, będzie można zobaczyć na czterech wyświetlaczach siedmiosegmentowych. Wartość liczbowa danych wejściowych wyświetlona zostanie z lewej strony wyświetlacza i oddzielone kropką od wartości liczbowej danych wyjściowych, wyświetlonych z prawej strony wyświetlacza. Obie wartości zostaną wyświetlone w kodzie HEX. Obsługę wyświetlacza siedmiosegmentowego zapewnia moduł o nazwie led_demux. Zadaniem modułu jest dokonanie translacji danych z kodu binarnego do kodu heksadecymalnego. Prócz tego realizuje on sekwencyjne zapalanie i gaszenie kolejnych bloków wyświetlacza siedmiosegmentowego. Podobnie jak prescaler, został on dołączony do repozytorium plików i powinien znajdować się w katalogu roboczym ISE. Należy dołączyć go teraz do projektu. Osoby chcące zaznajomić się z jego opisem w języku VHDL i zasadą działania, mogą zrobić to teraz. Pozostałe 4 wejścia rejestru FIFO (arst, rst, stbi, acko) zostaną obsłużone przy pomocy czterech przycisków monostabilnych znajdujących się na płytce Nexys zaraz poniżej wyświetlacza siedmiosegmentowego. Przyporządkowanie sygnałów do przycisków będzie wyglądać następująco: arst BTNL, rst BTNR, stri BTNU, acko BTND. Pozostałe 6 sygnałów wyjściowych (almost_empty, half_full, almost_full, full, acki, stbo) zostanie natomiast dołączonych do diod LED oznaczonych kolejno jako LD0 LD oraz LD6 i LD7. 8

19 5. Synteza i implementacja projektu Budowy całości projektu odbędzie się z wykorzystaniem schematu (Schematic). Taki sposób projektowania nosi nazwę projektowania mieszanego (Mixed Design), gdyż wykorzystuje zarówno czysty język opisu sprzętu, jak i schematy blokowe. 5.. Tworzenie schematu blokowego Proszę kliknąć prawym przyciskiem myszy na ikonę New Source. W nowo otwartym oknie proszę wybrać jako element źródłowy Schematic. Należy również nazwać stosownie (File name:) nowy schemat (np. top), a następnie kliknąć na przyciski Next i Finish. 9

20 Pojawi się pusty arkusz schematu. 0

21 W oknie/zakładce Design proszę zaznaczyć moduł fifo, a następnie wybrać opcję Design Utilities Create Schematic Symbol i kliknąć na niej dwukrotnie. W ten sposób tworzy się schemat blokowy dla elementu fifo. Postąpić identycznie z modułami led_demux oraz prescaler.

22 Proszę przejść do okna/zakładki Symbols, wybrać lokalizację projektu na dysku i zaznaczyć element fifo. Następnie skierować kursor myszy nad pusty arkusz schematu i klikając prawym przyciskiem myszy, umieścić na nim blok fifo. Operację należy powtórzyć również dla bloków led_demux oraz prescaler.

23 Następnie należy połączyć porty wejścia i wyjścia poszczególnych bloków ze sobą. Aby tego dokonać należy wybrać stosowne do tego narzędzie. Proszę kliknąć na ikonie Add Wire, a następnie kliknąć na punktach (portach) jakie chcemy ze sobą połączyć. Porty należy połączyć ze sobą w następujący sposób: clk_k (prescaler) clk_k (led_demux), clk_ (prescaler) clk (fifo), dati_i(0:7) (fifo) data_(7:0) (led_demux), dato_o(0:7) (fifo) data_(7:0) (led_demux). Dodatkowo należy utworzyć rozgałęzienie magistrali dati_i w taki sposób, by z jednej strony była ona niezakończona (symbolizuje to czerwony kwadrat). Prócz połączeń należy również utworzyć porty wejścia i wyjścia, które posłużą do komunikacji układu FPGA z peryferiami. W tym celu należy kliknąć prawym przyciskiem myszy na ikonie Add I/O Marker i objąć zaznaczeniem wszystkie elementy na schemacie. Automatycznie utworzone zostaną w ten sposób brakujące porty wejścia i wyjścia. Porty muszą zostać odpowiednio nazwane. W tym celu należy dwukrotnie kliknąć na symbol portu i dokonać edycji jego nazwy Nets Name. Po wprowadzeniu odpowiedniej nazwy w polu Value, proszę kliknąć kolejno na przyciskach Apply oraz OK.

24 Wygląd poprawnie utworzonego schematu (porty i połączenia) przedstawiono poniżej. W tym momencie należy zaznaczyć, który z modułów dołączonych do projektu jest modułem nadrzędnym. W rozważanym przypadku jest to blok top. Aby wskazać go jako element nadrzędny, należy kliknąć na jego nazwie prawym przyciskiem myszy i z menu kontekstowego wybrać Set as Top Module. 4

25 5.. Edycja pliku ucf Przed przystąpieniem do syntezy pozostaje jeszcze tylko odpowiednio przypisać porty wejścia i wyjścia do fizycznych pinów układu FPGA. Przy większości z elementów znajdujących się na płytce Nexys widnieją prócz nazw również oznaczenia w nawiasach okrągłych (np. BTNL jest oznaczony dodatkowo jako (C4)). Symbole w nawiasach odnoszą się do pinu układu FPGA, do którego fizycznie podłączony jest dany element. Informacja ta jest niezbędna dla etapu sprzętowej implementacji. Do repozytorium plików dołączony został plik z rozszerzeniem ucf. Należy go dołączyć do projektu i zaznajomić się z jego zawartością. Plik należy zmienić w taki sposób, aby przypominał wzorzec zamieszczony poniżej. Po wykonaniu wszystkich zmian, plik ucf należy koniecznie zapisać. ## This file is a general.ucf for Nexys rev B board ## To use it in a project: ## - remove or comment the lines corresponding to unused pins ## - rename the used signals according to the project ##Clock signal Net "clk" LOC=V0; ## 7 segment display Net "seg<7>" LOC = T7; Net "seg<6>" LOC = T8; Net "seg<5>" LOC = U7; Net "seg<4>" LOC = U8; Net "seg<>" LOC = M4; Net "seg<>" LOC = N4; Net "seg<>" LOC = L4; Net "seg<0>" LOC = M; Net "anoda<0>" LOC = N6; Net "anoda<>" LOC = N5; Net "anoda<>" LOC = P8; Net "anoda<>" LOC = P7; ## Leds Net "almost_empty" LOC = U6; Net "half_full" LOC = V6; Net "almost_full" LOC = U5; Net "full" LOC = V5; #Net "Led<4>" LOC = M; #Net "Led<5>" LOC = N; Net "ack_in" LOC = R; Net "stb_out" LOC = T; ## Switches Net "data_in<7>" LOC = T0; Net "data_in<6>" LOC = T9; Net "data_in<5>" LOC = V9; Net "data_in<4>" LOC = M8; Net "data_in<>" LOC = N8; Net "data_in<>" LOC = U8; Net "data_in<>" LOC = V8; Net "data_in<0>" LOC = T5; ## Buttons #Net "btns" LOC = B8; Net "stb_in" LOC = A8; Net "async_rst" LOC = C4; Net "ack_out" LOC = C9; Net "rst" LOC = D9; 5

26 5.. Synteza i implementacja Przy zaznaczonym module top należy dwukrotnie kliknąć prawym przyciskiem myszy na opcji Synthesize XST. Doprowadzi to do syntezy układu. Po kilkudziesięciu sekundach wyniki syntezy będzie można oglądać w zakładce Design Summary. Po ich przejrzeniu należy następnie wykonać analogicznie implementację (Implement Design) oraz generację pliku programującego (Generate Programming File). Jeśli wszystko przebiegło pomyślnie, proszę zaznajomić się z raportem po implementacji (okno Design Summary), a następnie przystąpić do konfiguracji urządzenia

27 5.4. Rekonfiguracja układy FPGA W celu zaprogramowania układu FPGA, należy dwukrotnie kliknąć prawym przyciskiem myszy na opcji Configure Target Device. Otwarte zostanie nowe okno, w którym kolejno proszę kliknąć na opcję Boundary Scan (dwukrotnie) i ikonę Initialize Chain (jednorazowo). 7

28 W nowo otwartym oknie należy zaznaczyć plik, który posłuży do konfiguracji układu FPGA. W naszym przypadku należy zaznaczyć plik o nazwie top.bit, a następnie kliknąć na przycisk Open. W kolejnych oknach należy kliknąć na przyciski No oraz Cancel. Proszę zwrócić uwagę, że typ układu FPGA został rozpoznany automatycznie. Pod symbolem układu oraz jego typem widnieje nazwa pliku, który zostanie użyty do konfiguracji (top.bit). Prawym przyciskiem myszy kliknąć na symbolu imitującym kość FPGA i z pojawiającego się menu kontekstowego wybrać Program. Pojawi się okno postępu rekonfiguracji układu. Gdy pasek postępu osiągnie 00%, pojawi się komunikat Program Succeeded. Układ jest w tej chwili skonfigurowany. Operując przełącznikami typu Dip Switch oraz przyciskami monostabilnymi, proszę zweryfikować poprawność pracy układu. Proszę pamiętać również, że układ potrzebuje ok. [s] na zatrzaśnięcie danej wejściowej i wystawienie danej wyjściowej. 8

Wygląd okna aplikacji Project Navigator.

Wygląd okna aplikacji Project Navigator. Laboratorium przedmiotu Podstawy Techniki Cyfrowej ćw.1: Układy kombinacyjne Wprowadzenie: Wszelkie realizacje układowe projektów w ramach laboratorium z przedmiotu Podstawy Techniki Cyfrowej będą tworzone

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File

1. ISE WebPack i VHDL Xilinx ISE Design Suite 10.1 VHDL Tworzenie projektu Project Navigator Xilinx ISE Design Suite 10.1 File 1. ISE WebPack i VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL. Tworzenie projektu Uruchom program Project

Bardziej szczegółowo

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx

Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx Projektowanie z użyciem bloków funkcjonalnych w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Układy reprogramowalne i SoC Implementacja w układach FPGA

Układy reprogramowalne i SoC Implementacja w układach FPGA Układy reprogramowalne i SoC Implementacja w układach FPGA Prezentacja jest współfinansowana przez Unię Europejską w ramach Europejskiego Funduszu Społecznego w projekcie pt. Innowacyjna dydaktyka bez

Bardziej szczegółowo

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012

Ćwiczenia z S7-1200. S7-1200 jako Profinet-IO Controller. FAQ Marzec 2012 Ćwiczenia z S7-1200 S7-1200 jako Profinet-IO Controller FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz urządzeń..... 3 2 KONFIGURACJA S7-1200 PLC.. 4 2.1 Nowy projekt.

Bardziej szczegółowo

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI

Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Programowalne układy logiczne Wydziałowy Zakład Nanometrologii SEMESTR LETNI Pierwszy projekt w środowisku ISE Design Suite Xilinx 1. Zapoznanie ze środowiskiem Xilinx ISE Design oraz językiem opisu sprzętu

Bardziej szczegółowo

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source...

1. Synteza układów opisanych w języku VHDL Xilinx ISE Design Suite 10.1 VHDL 2. Obsługa przetwornika CA Project Add source... 1. Synteza układów opisanych w języku VHDL Celem ćwiczenia jest szybkie zaznajomienie się ze środowiskiem projektowym Xilinx ISE Design Suite 10.1 oraz językiem opisu sprzętu VHDL, także przetwornikiem

Bardziej szczegółowo

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Ćwiczenie 2 Współpraca Zynq Processing System z peryferiami

Bardziej szczegółowo

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051

Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Tworzenie nowego projektu w asemblerze dla mikroprocesora z rodziny 8051 Katedra Automatyki, Wydział EAIiE Akademia Górniczo-Hutnicza w Krakowie Marcin Piątek Kraków 2008 1. Ważne uwagi i definicje Poniższy

Bardziej szczegółowo

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx

Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx Projektowanie z użyciem softprocesora picoblaze w układach programowalnych firmy Xilinx CEL ĆWICZENIA Celem ćwiczenia jest utrwalenie wiedzy dotyczącej budowy, działania i własności programowalnych układów

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

MentorGraphics ModelSim

MentorGraphics ModelSim MentorGraphics ModelSim 1. Konfiguracja programu Wszelkie zmiany parametrów systemu symulacji dokonywane są w menu Tools -> Edit Preferences... Wyniki ustawień należy zapisać w skrypcie startowym systemu

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 Prowadzący: mgr inż. Maciej Rudek email: maciej.rudek@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2016 Prowadzący: dr inż. Daniel Kopiec email: daniel.kopiec@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Technika Cyfrowa Wprowadzenie do laboratorium komputerowego

Technika Cyfrowa Wprowadzenie do laboratorium komputerowego Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział EAIiE Katedra Elektroniki Technika Cyfrowa Wprowadzenie do laboratorium komputerowego http://www.fpga.agh.edu.pl 1. Wstęp Celem niniejszego

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut.

Gromadzenie danych. Przybliżony czas ćwiczenia. Wstęp. Przegląd ćwiczenia. Poniższe ćwiczenie ukończysz w czasie 15 minut. Gromadzenie danych Przybliżony czas ćwiczenia Poniższe ćwiczenie ukończysz w czasie 15 minut. Wstęp NI-DAQmx to interfejs służący do komunikacji z urządzeniami wspomagającymi gromadzenie danych. Narzędzie

Bardziej szczegółowo

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL

LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL LABORATORIUM ELEKTRONIKA Projektowanie koderów, transkoderów i dekoderów w języku VHDL 1. Cel ćwiczenia W ćwiczeniu student projektuje i implementuje w strukturze układu FPGA (Field Programmable Gate Array)

Bardziej szczegółowo

Ukªady Kombinacyjne - cz ± I

Ukªady Kombinacyjne - cz ± I Ukªady Kombinacyjne - cz ± I Sebastian Kurczyk sebastian.kurczyk@polsl.pl Piotr Krauze piotr.krauze@polsl.pl 13 kwietnia 2013 Streszczenie Celem niniejszego laboratorium jest zapoznanie studentów z metodami

Bardziej szczegółowo

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP.

Ćwiczenia z S7-1200. Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP. Ćwiczenia z S7-1200 Komunikacja S7-1200 z miernikiem parametrów sieci PAC 3200 za pośrednictwem protokołu Modbus/TCP FAQ Marzec 2012 Spis treści 1 Opis zagadnienie poruszanego w ćwiczeniu. 3 1.1 Wykaz

Bardziej szczegółowo

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych

Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych Bramki logiczne Instrukcja do ćwiczeń laboratoryjnych. WSTĘP Celem ćwiczenia jest zapoznanie się z podstawowymi sposobami projektowania układów cyfrowych o zadanej funkcji logicznej, na przykładzie budowy

Bardziej szczegółowo

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami

Lista zadań nr 1. Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania algorytmów sterowania procesami Warsztaty Koła Naukowego SMART dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 1 Zagadnienia stosowanie sieci Petriego (ang. Petri net) jako narzędzia do modelowania

Bardziej szczegółowo

Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy z sterownikiem CX1000

Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy z sterownikiem CX1000 Konfigurowanie sterownika CX1000 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CX1000 Sterownik CX1000 należy do grupy urządzeń określanych jako komputery wbudowane (Embedded-PC).

Bardziej szczegółowo

Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie Konfigurowanie sterownika CP6601 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CP6601 Sterownik CP6601 należy do grupy urządzeń określanych jako komputery przemysłowe (Industrial

Bardziej szczegółowo

LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program

LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program LabVIEW PLATFORMA EDUKACYJNA Lekcja 5 LabVIEW i Arduino konfiguracja środowiska i pierwszy program Przygotował: Jakub Wawrzeńczak 1. Wprowadzenie Lekcja przedstawia wykorzystanie środowiska LabVIEW 2016

Bardziej szczegółowo

Symulacje inwertera CMOS

Symulacje inwertera CMOS Rozdział: Przygotowanie środowiska Symulacje inwertera CMOS * punktu opcjonalne 1 Przygotowanie środowiska 1. Uruchom komputer w systemie Linux (opensuse)*. 2. Otwórz konsole wykonując następujące kroki*

Bardziej szczegółowo

Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie Konfigurowanie sterownika CX9000 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne ze sterownikiem CX9000 Sterownik CX9000 należy do grupy urządzeń określanych jako komputery wbudowane (Embedded-PC).

Bardziej szczegółowo

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.

PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu. DATA: Ćwiczenie nr 4 PROTOTYPOWANIE UKŁADÓW ELEKTRONICZNYCH Programowalne układy logiczne FPGA Maciej Rosół, Katedra Automatyki AGH, e-mail: mr@ia.agh.edu.pl 1. Cel ćwiczenia Celem ćwiczenia jest zapoznanie

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU

Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Instrukcja podstawowego uruchomienia sterownika PLC LSIS serii XGB XBC-DR20SU Spis treści: 1. Instalacja oprogramowania XG5000 3 2. Tworzenie nowego projektu i ustawienia sterownika 7 3. Podłączenie sterownika

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. LAB. 2 Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja

Bardziej szczegółowo

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat:

Technika cyfrowa. Laboratorium nr 7. Liczniki synchroniczne. Mirosław Łazoryszczak. Temat: Mirosław Łazoryszczak Technika cyfrowa Laboratorium nr 7 Temat: Liczniki synchroniczne Katedra Architektury Komputerów i Telekomunikacji Zakład Systemów i Sieci Komputerowych SPIS TREŚCI 1. Wymagania...3

Bardziej szczegółowo

Projektowanie układów na schemacie

Projektowanie układów na schemacie Projektowanie układów na schemacie Przedstawione poniżej wskazówki mogą być pomocne przy projektowaniu układach na poziomie schematu. Stałe wartości logiczne Aby podłączyć wejście do stałej wartości logicznych

Bardziej szczegółowo

Projektowanie systemów za pomocą języków wysokiego poziomu ESL

Projektowanie systemów za pomocą języków wysokiego poziomu ESL Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IET Katedra Elektroniki Projektowanie systemów za pomocą języków wysokiego poziomu ESL Ćwiczenie 2 Implementacja funkcji Hash z użyciem

Bardziej szczegółowo

Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000

Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie. 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000 Konfigurowanie sterownika BX9000 firmy Beckhoff wprowadzenie 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BX9000 Stanowisko laboratoryjne ze sterownikiem BX9000 Sterownik BX9000 należy

Bardziej szczegółowo

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE

Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE Katedra Elektroniki Akademia Górniczo-Hutnicza w Krakowie Projektowanie układów VLSI-ASIC techniką od ogółu do szczegółu (top-down) przy użyciu pakietu CADENCE opis układu w Verilog, kompilacja i symulacja

Bardziej szczegółowo

FAQ: 00000042/PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200

FAQ: 00000042/PL Data: 3/07/2013 Konfiguracja współpracy programów PC Access i Microsoft Excel ze sterownikiem S7-1200 Spis treści 1 Opis zagadnienia omawianego w dokumencie.. 2 2 Wstęp do nowego projektu..... 3 2.1 Nowy projekt... 3 2.2 Dodanie nowego urządzenia... 4 3 Program w main... 6 4 Program PC Access.... 8 4.1

Bardziej szczegółowo

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie

Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Konfigurowanie modułu BK9050 firmy Beckhoff wprowadzenie Stanowisko laboratoryjne z modułem BK9050 Moduł BK9050 jest urządzeniem typu Bus Coupler, umożliwiającym instalację rozproszonych grup terminali

Bardziej szczegółowo

Laboratorium. Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie

Laboratorium. Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie Laboratorium Szyfrowanie algorytmami Vernam a oraz Vigenere a z wykorzystaniem systemu zaimplementowanego w układzie programowalnym FPGA. 1. Zasada działania algorytmów Algorytm Vernam a wykorzystuje funkcję

Bardziej szczegółowo

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL

Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL Symulacja systemu z procesorem MicroBlaze w środowisku ActiveHDL wersja 6.06.2007 Zespół Rekonfigurowalnych Systemów Obliczeniowych AGH Kraków http://www.fpga.agh.edu.pl/ Poniższe ćwiczenie jest kontynuacją

Bardziej szczegółowo

Obudowa zewnętrznego dysku USB 2.0, 2.5" (6.35cm)

Obudowa zewnętrznego dysku USB 2.0, 2.5 (6.35cm) Obudowa zewnętrznego dysku USB 2.0, 2.5" (6.35cm) Podręcznik użytkownika DA-71001 DA-71002 Przedmowa Gratulujemy zakupu naszego produktu! Przedstawimy nową koncepcję zapisu łączącą bezpieczeństwo z wygodą.

Bardziej szczegółowo

PROGRAMOWALNE STEROWNIKI LOGICZNE

PROGRAMOWALNE STEROWNIKI LOGICZNE PROGRAMOWALNE STEROWNIKI LOGICZNE I. Wprowadzenie Klasyczna synteza kombinacyjnych i sekwencyjnych układów sterowania stosowana do automatyzacji dyskretnych procesów produkcyjnych polega na zaprojektowaniu

Bardziej szczegółowo

Parametryzacja przetworników analogowocyfrowych

Parametryzacja przetworników analogowocyfrowych Parametryzacja przetworników analogowocyfrowych wersja: 05.2015 1. Cel ćwiczenia Celem ćwiczenia jest zaprezentowanie istoty działania przetworników analogowo-cyfrowych (ADC analog-to-digital converter),

Bardziej szczegółowo

NWD-210N Bezprzewodowy adapter USB 802.11n

NWD-210N Bezprzewodowy adapter USB 802.11n NWD-210N Bezprzewodowy adapter USB 802.11n Skrócona instrukcja obsługi Wersja 1.00 11/2007 Edycja 1 Copyright 2006. Wszelkie prawa zastrzeżone. Przegląd NWD210N to adapter sieciowy USB do komputerów osobistych.

Bardziej szczegółowo

Cyfrowe Przetwarzanie Obrazów i Sygnałów

Cyfrowe Przetwarzanie Obrazów i Sygnałów Cyfrowe Przetwarzanie Obrazów i Sygnałów Laboratorium EX0 Wprowadzenie Joanna Ratajczak, Wrocław, 2018 1 Cel i zakres ćwiczenia Celem ćwiczenia jest zapoznanie się ze środowiskiem Matlab/Simulink wraz

Bardziej szczegółowo

BEZPRZEWODOWY ADAPTER DWUPASMOWY 450N USB 2.0

BEZPRZEWODOWY ADAPTER DWUPASMOWY 450N USB 2.0 BEZPRZEWODOWY ADAPTER DWUPASMOWY 450N USB 2.0 Przewodnik szybkiej instalacji DN -70650 Spis treści Zawartość pakietu... Strona 1 Instalacja karty sieciowej... Strona 2 Podłączenie do bezprzewodowego punktu

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer

Akceleracja symulacji HES-AHDL. 1. Rozpoczęcie pracy aplikacja VNC viewer Akceleracja symulacji HES-AHDL 1. Rozpoczęcie pracy aplikacja VNC viewer Rys. 1 Ultra VNCViewer Karta HES jest umieszczona w komputerze PC w pokoju 502 C-3 na serwerze VNC o adresie IP 149.156.121.112.

Bardziej szczegółowo

Bramki Instrukcja do laboratorium AGH w Krakowie Katedra Elektroniki Ernest Jamro Aktualizacja:

Bramki Instrukcja do laboratorium AGH w Krakowie Katedra Elektroniki Ernest Jamro Aktualizacja: Technika Cyfrowa i Układy Programowalne Bramki Instrukcja do laboratorium AGH w Krakowie Katedra Elektroniki Ernest Jamro Aktualizacja: 21-10-2016 1. Podłączenie układu Podłącz wyprowadzenia płytki z układem

Bardziej szczegółowo

Instrukcja użytkowania

Instrukcja użytkowania ASPEL S.A. PL 32-080 Zabierzów, os. H. Sienkiewicza 33 tel. +48 12 285 22 22, fax +48 12 285 30 30 www.aspel.com.pl Instrukcja użytkowania Konfiguracja bezprzewodowej komunikacji rejestratora AsPEKT 703

Bardziej szczegółowo

ZL10PLD. Moduł dippld z układem XC3S200

ZL10PLD. Moduł dippld z układem XC3S200 ZL10PLD Moduł dippld z układem XC3S200 Moduły dippld opracowano z myślą o ułatwieniu powszechnego stosowania układów FPGA z rodziny Spartan 3 przez konstruktorów, którzy nie mogą lub nie chcą inwestować

Bardziej szczegółowo

Konfiguracja pakietu CrossStudio for MSP430 2.0.

Konfiguracja pakietu CrossStudio for MSP430 2.0. Konfiguracja pakietu CrossStudio for MSP430 2.0. 1. Przed rozpoczęciem pracy przeczytaj całego manuala. 2. Gratulujemy wyboru modułu MMmsp430x1xxx. W celu rozpoczęcia pracy należy pobrać 30-dniową wersję

Bardziej szczegółowo

Widok programatora PonyProgUSB wersja 1.0 oraz jego elementy przedstawiono na poniższym rysunku.

Widok programatora PonyProgUSB wersja 1.0 oraz jego elementy przedstawiono na poniższym rysunku. Telwis PonyProg USB INSTRUKCJA OBSŁUGI Widok programatora PonyProgUSB wersja 1.0 oraz jego elementy przedstawiono na poniższym rysunku. Program PonyProgUSB nie jest wersją instalacyjną. Uruchamiamy go

Bardziej szczegółowo

Poniższy przykład przedstawia prosty sposób konfiguracji komunikacji między jednostkami centralnymi LOGO! w wersji 8 w sieci Ethernet.

Poniższy przykład przedstawia prosty sposób konfiguracji komunikacji między jednostkami centralnymi LOGO! w wersji 8 w sieci Ethernet. Poniższy przykład przedstawia prosty sposób konfiguracji komunikacji między jednostkami centralnymi LOGO! w wersji 8 w sieci Ethernet. Przygotowanie urządzeń W prezentowanym przykładzie adresy IP sterowników

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TECHNIKA CYFROWA 2 TS1C300 020 Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: TEHNIKA YFOWA 2 T1300 020 Ćwiczenie Nr 6 EALIZAJA FUNKJI EJETOWYH W TUKTUAH

Bardziej szczegółowo

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom:

Rys. 1. Główne okno programu QT Creator. Na rysunku 2 oznaczone zostały cztery przyciski, odpowiadają kolejno następującym funkcjom: 1. QT creator, pierwsze kroki. Qt Creator wieloplatformowe środowisko programistyczne dla języków C++, JavaScript oraz QML, będące częścią SDK dla biblioteki Qt. Zawiera w sobie graficzny interfejs dla

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA

LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA AKADEMIA GÓRNICZO- HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE LABORATORIUM PRZEMYSŁOWYCH SYSTEMÓW STEROWANIA Wydział Inżynierii Mechanicznej i Robotyki Katedra Automatyzacji Procesów Przedmiot: Przemysłowe

Bardziej szczegółowo

Obudowa zewnętrznego dysku twardego USB "

Obudowa zewnętrznego dysku twardego USB Obudowa zewnętrznego dysku twardego USB 3.0 3.5" Podręcznik użytkownika DA-71035 Przedmowa Gratulujemy zakupu naszego produktu! Przedstawimy nową koncepcję zapisu łączącą bezpieczeństwo z wygodą. Mamy

Bardziej szczegółowo

Ćwiczenie 1 VHDL - Licznik 4-bitowy.

Ćwiczenie 1 VHDL - Licznik 4-bitowy. Ćwiczenie 1 VHDL - Licznik 4-bitowy. Zadaniem studenta jest zaprojektowanie w układzie CoolRunner2 układu, który dzieli częstotliwość zegara wejściowego generując sygnał taktowania licznika 4-bitowego,

Bardziej szczegółowo

Instrukcja instalacji modemu CDMA MV410R z wykorzystaniem kabla USB w systemie operacyjnym MS Windows XP 32-bit

Instrukcja instalacji modemu CDMA MV410R z wykorzystaniem kabla USB w systemie operacyjnym MS Windows XP 32-bit Instrukcja instalacji modemu CDMA MV410R z wykorzystaniem kabla USB w systemie operacyjnym MS Windows XP 32-bit OGSM/PDF08/0409, Strona 1 z 26 Spis treści 1. Prezentacja zestawu instalacyjnego... 3 1.1.

Bardziej szczegółowo

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6

Product Update 2013. Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Product Update 2013 Funkcjonalność ADR dla przemienników Częstotliwości PowerFlex 750 oraz 525 6 Str. 2 / 15 Funkcjonalność ADR dla przemienników PF 750 Temat: Celem niniejszego ćwiczenia, jest zapoznanie

Bardziej szczegółowo

Instrukcja obsługi programatora AVR Prog USB v2

Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2, STK500 v2 Strona 1 Zawartość 1. Instalacja... 3 2. Instalacja sterowników w trybie HID.... 3 3. Programowanie

Bardziej szczegółowo

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania

Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Politechnika Gdańska Wydział Elektrotechniki i Automatyki Katedra Inżynierii Systemów Sterowania Podstawowe kroki programowania zestawu uruchomieniowego ZL9AVR z systemem operacyjnym NutOS w środowisku

Bardziej szczegółowo

dokument DOK 02-05-12 wersja 1.0 www.arskam.com

dokument DOK 02-05-12 wersja 1.0 www.arskam.com ARS3-RA v.1.0 mikro kod sterownika 8 Linii I/O ze zdalną transmisją kanałem radiowym lub poprzez port UART. Kod przeznaczony dla sprzętu opartego o projekt referencyjny DOK 01-05-12. Opis programowania

Bardziej szczegółowo

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH

SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki SYSTEMY DEDYKOWANE W UKŁADACH PROGRAMOWALNYCH Ćwiczenie 5 ZYNQ. Obsługa przerwań. Zespół Rekonfigurowalnych

Bardziej szczegółowo

TECHNIKA MIKROPROCESOROWA II

TECHNIKA MIKROPROCESOROWA II Akademia Górniczo Hutnicza im. Stanisława Staszica w Krakowie Wydział IEiT Katedra Elektroniki TECHNIKA MIKROPROCESOROWA II PRE LAB Instalacja środowiska Keil i konfigurowanie zestawu FRDM-KL46Z Sebastian

Bardziej szczegółowo

OPTIMA PC v2.2.1. Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 2011 ELFON. Instrukcja obsługi. Rev 1

OPTIMA PC v2.2.1. Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 2011 ELFON. Instrukcja obsługi. Rev 1 OPTIMA PC v2.2.1 Program konfiguracyjny dla cyfrowych paneli domofonowy serii OPTIMA 255 Instrukcja obsługi Rev 1 2011 ELFON Wprowadzenie OPTIMA PC jest programem, który w wygodny sposób umożliwia konfigurację

Bardziej szczegółowo

Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie

Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie Konfigurowanie sterownika BC8150 firmy Beckhoff wprowadzenie 1. Konfiguracja pakietu TwinCAT do współpracy ze sterownikiem BC8150 Stanowisko laboratoryjne ze sterownikiem BC8150 Sterownik BC8150 należy

Bardziej szczegółowo

TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie

TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie TwinCAT 3 konfiguracja i uruchomienie programu w języku ST lokalnie 1. Uruchomienie programu TwinCAT 3: a) Kliknąć w start i wpisać wpisać frazę twincat. b) Kliknąć w ikonę jak poniżej: 2. Wybrać w menu

Bardziej szczegółowo

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika

Warsztaty AVR. Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR. Dariusz Wika Warsztaty AVR Instalacja i konfiguracja środowiska Eclipse dla mikrokontrolerów AVR Dariusz Wika 1.Krótki wstęp: Eclipse to rozbudowane środowisko programistyczne, które dzięki możliwości instalowania

Bardziej szczegółowo

Laboratorium 2.6.1 Badanie topologii i budowa małej sieci

Laboratorium 2.6.1 Badanie topologii i budowa małej sieci Laboratorium 2.6.1 Badanie topologii i budowa małej sieci Topologia sieci Sieć punkt-punkt Cele nauczania Po zakończeniu tego ćwiczenia będziesz potrafił: Sieć przełączana poprawnie identyfikować kable

Bardziej szczegółowo

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński

Altera Quartus II. Opis niektórych komponentów dostarczanych razem ze środowiskiem. Opracował: mgr inż. Leszek Ciopiński Altera Quartus II Opis niektórych komponentów dostarczanych razem ze środowiskiem Opracował: mgr inż. Leszek Ciopiński Spis treści Opis wybranych zagadnień obsługi środowiska Altera Quartus II:...1 Magistrale:...

Bardziej szczegółowo

Skrócona instrukcja obsługi czujników Fast Tracer firmy Sequoia.

Skrócona instrukcja obsługi czujników Fast Tracer firmy Sequoia. Skrócona instrukcja obsługi czujników Fast Tracer firmy Sequoia. Spis treści 1. Instalacja 2. Konfiguracja 3. Pomiar 4. Zarządzanie danymi 1. Instalacja. W celu rozpoczęcia pracy z urządzeniem FastTracer

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 03 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Instrukcja obsługi programatora AVR Prog USB v2

Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2 Instrukcja obsługi programatora AVR Prog USB v2, STK500 v2 www.and-tech.pl Strona 1 Zawartość Instrukcja obsługi programatora AVR Prog USB v2, STK500 v2

Bardziej szczegółowo

Instrukcja wgrywania aktualizacji oprogramowania dla routera Edimax LT-6408n

Instrukcja wgrywania aktualizacji oprogramowania dla routera Edimax LT-6408n Instrukcja wgrywania aktualizacji oprogramowania dla routera Edimax LT-6408n Uwaga! Nowa wersja oprogramowania oznaczona numerem 1.03v jest przeznaczona tylko dla routerów mających współpracować z modemem

Bardziej szczegółowo

MultiTool instrukcja użytkownika 2010 SFAR

MultiTool instrukcja użytkownika 2010 SFAR MultiTool instrukcja użytkownika 2010 SFAR Tytuł dokumentu: MultiTool instrukcja użytkownika Wersja dokumentu: V1.0 Data: 21.06.2010 Wersja urządzenia którego dotyczy dokumentacja: MultiTool ver. 1.00

Bardziej szczegółowo

Electronic Infosystems

Electronic Infosystems Department of Optoelectronics and Electronic Systems Faculty of Electronics, Telecommunications and Informatics Gdansk University of Technology Electronic Infosystems Microserver TCP/IP with CS8900A Ethernet

Bardziej szczegółowo

Politechnika Łódzka. Instytut Systemów Inżynierii Elektrycznej

Politechnika Łódzka. Instytut Systemów Inżynierii Elektrycznej Politechnika Łódzka Instytut Systemów Inżynierii Elektrycznej Laboratorium komputerowych systemów pomiarowych Ćwiczenie 8 Wykorzystanie modułów FieldPoint w komputerowych systemach pomiarowych 1. Wprowadzenie

Bardziej szczegółowo

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. QuIDE Quantum IDE PODRĘCZNIK UŻYTKOWNIKA

AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. QuIDE Quantum IDE PODRĘCZNIK UŻYTKOWNIKA AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE QuIDE Quantum IDE PODRĘCZNIK UŻYTKOWNIKA Joanna Patrzyk Bartłomiej Patrzyk Katarzyna Rycerz jpatrzyk@quide.eu bpatrzyk@quide.eu kzajac@agh.edu.pl

Bardziej szczegółowo

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji

LICZNIKI LABORATORIUM. Elektronika AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE. Wydział Informatyki, Elektroniki i Telekomunikacji AKADEMIA GÓRNICZO-HUTNICZA IM. STANISŁAWA STASZICA W KRAKOWIE Wydział Informatyki, Elektroniki i Telekomunikacji Katedra Elektroniki LABORATORIUM Elektronika LICZNIKI Rev.1.0 1. Wprowadzenie Celem ćwiczenia

Bardziej szczegółowo

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE

Wydział Elektryczny. Katedra Automatyki i Elektroniki. Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Instrukcja do ćwiczeń laboratoryjnych z przedmiotu: PROGRAMOWALNE STRUKTURY LOGICZNE ES1C420 300 Ćwiczenie Nr 1 SYSTEM CAD

Bardziej szczegółowo

Multimetr cyfrowy VA18B Instrukcja instalacji i obsługi. oprogramowania PC-LINK

Multimetr cyfrowy VA18B Instrukcja instalacji i obsługi. oprogramowania PC-LINK Multimetr cyfrowy VA18B Instrukcja instalacji i obsługi oprogramowania PC-LINK Do urządzenia VA18B została dołączona płyta CD zawierająca oprogramowanie PC-LINK, dzięki któremu moŝliwa jest komunikacja

Bardziej szczegółowo

Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna

Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna dr inż. Jarosław Sugier Ver. 11 1 Wiadomości ogólne Zintegrowane środowisko Xilinx ISE służy do wykonania wszystkich operacji związanych z przygotowaniem

Bardziej szczegółowo

Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna

Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna Środowisko Xilinx ISE i ModelSim XE Instrukcja laboratoryjna dr inż. Jarosław Sugier Ver. 10.1 a 1 Wiadomości ogólne Zintegrowane środowisko Xilinx ISE służy do pracy na wszystkich etapach przygotowania

Bardziej szczegółowo

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L

SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L SKRÓCONA INSTRUKCJA INSTALACJI MODEMU I KONFIGURACJA POŁĄCZENIA Z INTERNETEM NA WINDOWS 8 DLA AnyDATA ADU-520L Przed rozpoczęciem instalacji przygotuj wszystkie niezbędne elementy wymagane do poprawnej

Bardziej szczegółowo

Układy FPGA Sumator 4-bitowy

Układy FPGA Sumator 4-bitowy Układy FPGA Sumator 4-bitowy programowanie bramek w zestawie uruchomieniowym Basys3 firmy Digilent -środowisko Vivado (2016.4)- firmy Xilings, język Verilog Pierwszy projektowany układ będzie zawierał

Bardziej szczegółowo

Systemy Czasu Rzeczywistego FPGA

Systemy Czasu Rzeczywistego FPGA 01. Systemy Czasu Rzeczywistego FPGA 1 Systemy Czasu Rzeczywistego FPGA laboratorium: 05 autor: mgr inż. Mateusz Baran 01. Systemy Czasu Rzeczywistego FPGA 2 1 Spis treści FPGA... 1 1 Spis treści... 2

Bardziej szczegółowo

Programowanie sterowników

Programowanie sterowników Programowanie sterowników Wydział Elektrotechniki, Informatyki i Telekomunikacji 1 Strona 1 Ćwiczenie 1: Usuwanie projektu 1. Uruchom Windows Explorer. 2. Usuń projekt z lokalizacji na dysku: D:\Automation

Bardziej szczegółowo

1.Wstęp. 2.Generowanie systemu w EDK

1.Wstęp. 2.Generowanie systemu w EDK 1.Wstęp Celem niniejszego ćwiczenia jest zapoznanie z możliwościami debuggowania kodu na platformie MicroBlaze oraz zapoznanie ze środowiskiem wspomagającym prace programisty Xilinx Platform SDK (Eclipse).

Bardziej szczegółowo

Instrukcja instalacji modemu CDMA MV410R z wykorzystaniem kabla Ethernet w systemie operacyjnym MS Windows XP 32-bit

Instrukcja instalacji modemu CDMA MV410R z wykorzystaniem kabla Ethernet w systemie operacyjnym MS Windows XP 32-bit Instrukcja instalacji modemu CDMA MV410R z wykorzystaniem kabla Ethernet w systemie operacyjnym MS Windows XP 32-bit OGSM/PDF07/0409, Strona 1 z 20 Spis treści 1. Prezentacja zestawu instalacyjnego...

Bardziej szczegółowo

INSTALACJA LICENCJI SIECIOWEJ NET HASP Wersja 8.32

INSTALACJA LICENCJI SIECIOWEJ NET HASP Wersja 8.32 INSTALACJA LICENCJI SIECIOWEJ NET HASP Wersja 8.32 Spis Treści 1. Wymagania... 2 1.1. Wymagania przy korzystaniu z klucza sieciowego... 2 1.2. Wymagania przy uruchamianiu programu przez internet... 2 2.

Bardziej szczegółowo

Instrukcja instalacji systemu. CardioScan 10, 11 i 12

Instrukcja instalacji systemu. CardioScan 10, 11 i 12 Instrukcja instalacji systemu CardioScan 10, 11 i 12 w wersji 76a/77a (pliki pobrane ze strony: http://www.oxford.com.pl/pobieranie/) Grudzień 2014 Strona 2 Instrukcja instalacji systemu CardioScan 10,

Bardziej szczegółowo

PC0060. ADAPTER Kabel Easy Copy PC-Link USB 2.0 Proste kopiowanie, bez instalacji. Instrukcja obsługi

PC0060. ADAPTER Kabel Easy Copy PC-Link USB 2.0 Proste kopiowanie, bez instalacji. Instrukcja obsługi PC0060 ADAPTER Kabel Easy Copy PC-Link USB 2.0 Proste kopiowanie, bez instalacji Instrukcja obsługi Rozdział 1 Produkt 1.1 Instrukcja Produkt PC0060 to najlepsze rozwiązanie w zakresie przesyłania danych.

Bardziej szczegółowo

Instrukcja aktualizacji oprogramowania. Wersja dokumentu: 01i00 Aktualizacja:

Instrukcja aktualizacji oprogramowania. Wersja dokumentu: 01i00 Aktualizacja: Instrukcja aktualizacji oprogramowania Wersja dokumentu: 01i00 Aktualizacja: 2016-03-11 Uwagi Inne dokumenty dotyczące obsługi urządzeń można pobrać ze strony energetyka.itr.org.pl Przed aktualizacją oprogramowania

Bardziej szczegółowo

Magistrale na schematach

Magistrale na schematach Magistrale na schematach Jeśli w projektowanym układzie występują sygnały składające się z kilku powiązanych ze sobą logicznie linii (na przykład liczby wielobitowe) wskazane jest używanie magistrali (Bus).

Bardziej szczegółowo