Procesory w FPGA H D L. dr inż. Paweł Tomaszewicz Instytut Telekomunikacji Politechnika Warszawska

Wielkość: px
Rozpocząć pokaz od strony:

Download "Procesory w FPGA H D L. dr inż. Paweł Tomaszewicz Instytut Telekomunikacji Politechnika Warszawska"

Transkrypt

1 Procesory w FPGA 1

2 System w FPGA SOPC - System on a Programmable Chip System mikroprocesorowy w układzie programowalnym: softprocesor zrealizowany w logice układu FPGA NIOS2 Altera Microblaze Xilinx OpenRISC OpensCores (GP) EON ESTEC (GP) układy FPGA z hardprocesorem układy Altera (Aria V, Cyclone V, Aria 10, Stratix 10) z procesorem ARM układy Xilinx (Zynq-7000 SoC, Zynq UltraScale+ MPSoC) z procesorem ARM 2

3 Konfigurowalne rdzenie procesora Nios II o 32 bitowej architekturze typu arvard: Fast (/f core): zoptymalizowany pod kątem wydajności z 6 stopniami potoku, opcjonalnie z MMU (Memory Management Unit) lub MPU (Memory Protection Unit), Economy (/e core): zoptymalizowany pod kątem wielkości, darmowy Standard (/s core): zoptymalizowany pod kątem wydajności i wielkości 3

4 4

5 rozszerzenia 5

6 narzędzia 6

7 rozszerzenia 7

8 systemy operacyjne 8

9 konfigurowanie Konfigurowanie softprocesora: dodawanie standardowych komponentów (timer y, kontrolery pamięci, UART, ) dodawanie instrukcji użytkownika custom instruction (do 256) dodawanie komponentów użytkownika custom component 9

10 konfigurowanie dodawanie komponentów użytkownika custom component dodawanie instrukcji użytkownika custom instruction (do 256) module my_ci ( ); input reset, input clk, input clk_en, input start, input [31:0] dataa, datab, output reg done, output reg [31:0] result 10

11 schemat blokowy Bloki: wymagane opcjonalne 11

12 przykład systemu 12

13 Systemy wieloprocesorowe Każdy procesor może mieć własny zbiór funkcji sprzętowych oraz koprocesorów (skalowalność) 13

14 ardprocesor Altera Altera SoC - procesor ARM (hard processor system (PS) - procesor, peryferia, interfejsy pamięci) połączony z FPGA za pomocą wysokoprzepustowych linii Połączenie wydajności i mocy hardprocesora z logiką programowalną FPGA System SoC zawiera dodatkowo: interfejs PCI Express, kontrolery pamięci wieloportowej, moduły korekcji błędów ECC, moduły zarządzania pamięcią, szybkie transceiver y Układy SoC: Cyclone V SoC - dual-core ARM Cortex-A9 Arria V SoC Arria 10 SoC Stratix 10 SoC - 64 bit quad-core ARM Cortex-A53 (Altera SK for OpenC, SoC Embedded esign Suite (ES)) 14

15 System SoC płytka E1-SoC FPGA evice: Cyclone V SoC 5CSEMA5F31C6 evice ual-core ARM Cortex-A9 (PS) 85K Programmable ogic Elements 4,450 Kbits embedded memory 6 Fractional Ps 2 ard Memory Controllers Configuration and ebug: Serial Configuration device EPCS128 on FPGA On-Board USB Blaster II (Normal type B USB connector) Memory evice: 64MB (32Mx16) SRAM on FPGA 1GB (2x256Mx16) R3 SRAM on PS Micro S Card Socket on PS Communication: Two Port USB 2.0 ost (UPI interface with USB type A connector) USB to UART (micro USB type B connector) 10/100/1000 Ethernet PS/2 mouse/keyboard IR Emitter/Receiver Price: $249 Academic: $175 15

16 System Soc płytka E1-SoC 16

17 System Soc płytka E1-SoC 17

18 Projekt systemu SoPC prezentacja Projekt systemu z softprocesorem Nios II z instrukcją użytkownika (custom instruction) Oprogramowanie: Quartus2 Web 13.0sp1, Nios II ES Płytka E

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji.

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji. POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Koło Naukowe Układów Cyfrowych Układy cyfrowe (dlaczego?) Idea

Bardziej szczegółowo

Systemy na Chipie. Robert Czerwiński

Systemy na Chipie. Robert Czerwiński Systemy na Chipie Robert Czerwiński Cel kursu Celem kursu jest zapoznanie słuchaczy ze współczesnymi metodami projektowania cyfrowych układów specjalizowanych, ze szczególnym uwzględnieniem układów logiki

Bardziej szczegółowo

Pod o z d ia i ł a r yn y k n u k u ( 2004 r.). źródło: Gartner 3

Pod o z d ia i ł a r yn y k n u k u ( 2004 r.). źródło: Gartner 3 Systemy typu SoPC Orkiszewski Marcin Projektowanie Programowalnych Układów Scalonych z-2009 Mikrokontrolery vs. FPGA MCU cena łatwość montaŝu łatwość programowania > >> >>> FPGA cena łatwość montaŝu łatwość

Bardziej szczegółowo

Układy programowalne. Wykład z ptc część 5

Układy programowalne. Wykład z ptc część 5 Układy programowalne Wykład z ptc część 5 Pamięci ROM Pamięci stałe typu ROM (Read only memory) umożliwiają jedynie odczytanie informacji zawartej w strukturze pamięci. Działanie: Y= X j *cs gdzie j=linia(a).

Bardziej szczegółowo

Układy programowalne. Wykład z ptc część 5

Układy programowalne. Wykład z ptc część 5 Układy programowalne Wykład z ptc część 5 Pamięci ROM Pamięci stałe typu ROM (Read only memory) umożliwiają jedynie odczytanie informacji zawartej w strukturze pamięci. Działanie: Y= X j *cs gdzie j=linia_pamięci(a).

Bardziej szczegółowo

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji

POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych. Instytut Telekomunikacji Zakład Podstaw Telekomunikacji POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Opiekun naukowy: dr

Bardziej szczegółowo

Opis przedmiotu zamówienia CZĘŚĆ 1

Opis przedmiotu zamówienia CZĘŚĆ 1 Opis przedmiotu zamówienia CZĘŚĆ 1 Stanowiska do badań algorytmów sterowania interfejsów energoelektronicznych zasobników energii bazujących na układach programowalnych FPGA. Stanowiska laboratoryjne mają

Bardziej szczegółowo

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie:

Zaliczenie Termin zaliczenia: Sala IE 415 Termin poprawkowy: > (informacja na stronie: Zaliczenie Termin zaliczenia: 14.06.2007 Sala IE 415 Termin poprawkowy: >18.06.2007 (informacja na stronie: http://neo.dmcs.p.lodz.pl/tm/index.html) 1 Współpraca procesora z urządzeniami peryferyjnymi

Bardziej szczegółowo

System mikroprocesorowy i peryferia. Dariusz Chaberski

System mikroprocesorowy i peryferia. Dariusz Chaberski System mikroprocesorowy i peryferia Dariusz Chaberski System mikroprocesorowy mikroprocesor pamięć kontroler przerwań układy wejścia wyjścia kontroler DMA 2 Pamięć rodzaje (podział ze względu na sposób

Bardziej szczegółowo

Metody optymalizacji soft-procesorów NIOS

Metody optymalizacji soft-procesorów NIOS POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Warszawa, 27.01.2011

Bardziej szczegółowo

Projektowanie z użyciem procesora programowego Nios II

Projektowanie z użyciem procesora programowego Nios II Projektowanie z użyciem procesora programowego Nios II WSTĘP Celem ćwiczenia jest nauczenie projektowania układów cyfrowych z użyciem wbudowanych procesorów programowych typu Nios II dla układów FPGA firmy

Bardziej szczegółowo

Opis przedmiotu zamówienia

Opis przedmiotu zamówienia Opis przedmiotu zamówienia Stanowiska do badań algorytmów sterowania interfejsów energoelektronicznych zasobników energii bazujących na układach programowalnych FPGA. Stanowiska laboratoryjne mają służyć

Bardziej szczegółowo

Architektura Systemów Komputerowych. Rozwój architektury komputerów klasy PC

Architektura Systemów Komputerowych. Rozwój architektury komputerów klasy PC Architektura Systemów Komputerowych Rozwój architektury komputerów klasy PC 1 1978: Intel 8086 29tys. tranzystorów, 16-bitowy, współpracował z koprocesorem 8087, posiadał 16-bitową szynę danych (lub ośmiobitową

Bardziej szczegółowo

Szkolenia specjalistyczne

Szkolenia specjalistyczne Szkolenia specjalistyczne AGENDA Programowanie mikrokontrolerów w języku C na przykładzie STM32F103ZE z rdzeniem Cortex-M3 GRYFTEC Embedded Systems ul. Niedziałkowskiego 24 71-410 Szczecin info@gryftec.com

Bardziej szczegółowo

Platforma testowa dla prototypowych systemów CPS na bazie wybranego zestawu rozwojowego

Platforma testowa dla prototypowych systemów CPS na bazie wybranego zestawu rozwojowego Paweł Poczekajło Wydział Elektroniki i Informatyki Politechnika Koszalińska ul. JJ Śniadeckich 2, 75-453 Koszalin Platforma testowa dla prototypowych systemów CPS na bazie wybranego zestawu rozwojowego

Bardziej szczegółowo

WYKŁAD 5. Zestaw DSP60EX. Zestaw DSP60EX

WYKŁAD 5. Zestaw DSP60EX. Zestaw DSP60EX Zestaw DSP60EX Karta DSP60EX współpracuje z sterownikiem DSP60 i stanowi jego rozszerzenie o interfejs we/wy cyfrowy, analogowy oraz użytkownika. Karta z zamontowanym sterownikiem pozwala na wykorzystanie

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 wersja startowa dla słuchaczy studiów niestacjonarnych. Laboratorium Mikroprocesorowych Układów Sterowania

Bardziej szczegółowo

Szczegółowy opis przedmiotu zamówienia. Część 1 - Laboratoryjny zestaw prototypowy

Szczegółowy opis przedmiotu zamówienia. Część 1 - Laboratoryjny zestaw prototypowy Załącznik nr 6 do SIWZ Szczegółowy opis przedmiotu zamówienia Ilość: 3 sztuki (kpl.) CPV 38434000-6 analizatory Część 1 - Laboratoryjny zestaw prototypowy Parametry urządzenia: Zintegrowany oscyloskop:

Bardziej szczegółowo

Podstawy Systemów Wbudowanych

Podstawy Systemów Wbudowanych Podstawy Systemów Wbudowanych Wykład 8: Wprowadzenie do układów SoC - Raspberry PI Angelika Tefelska Dariusz Tefelski Zakład Fizyki Jądrowej, Wydział Fizyki PW 28 kwietnia 2017 Angelika Tefelska Dariusz

Bardziej szczegółowo

Kurs programowania mikrokontrolerów ARM z rodziny Cortex-M3

Kurs programowania mikrokontrolerów ARM z rodziny Cortex-M3 Kurs programowania mikrokontrolerów ARM z rodziny Cortex-M3 organizowany przez: Koło Naukowe Mikrosystemów ONYKS we współpracy z: Wydawnictwem BTC Polskim przedstawicielstwem STMicroelectronics Plan spotkania

Bardziej szczegółowo

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH Arkadiusz Bukowiec mgr inż. Agnieszka Węgrzyn Instytut Informatyki i Elektroniki, Uniwersytet

Bardziej szczegółowo

Wykład 6. Mikrokontrolery z rdzeniem ARM

Wykład 6. Mikrokontrolery z rdzeniem ARM Wykład 6 Mikrokontrolery z rdzeniem ARM Plan wykładu Cortex-A9 c.d. Mikrokontrolery firmy ST Mikrokontrolery firmy NXP Mikrokontrolery firmy AnalogDevices Mikrokontrolery firmy Freescale Mikrokontrolery

Bardziej szczegółowo

Sumatory H D L. dr inŝ. Paweł Tomaszewicz Instytut Telekomunikacji Politechnika Warszawska

Sumatory H D L. dr inŝ. Paweł Tomaszewicz Instytut Telekomunikacji Politechnika Warszawska Sumatory 1 Sumator 1-bitowy full adder Równanie boolowskie sumy: s k = a k XOR b k XOR c k = a k b k c k Równanie boolowskie przeniesienia: c k+1 = (a k AN b k ) OR (a k AN c k ) OR (b k AN c k ) = (a

Bardziej szczegółowo

Łagodne wprowadzenie do systemów wbudowanych. Bartłomiej Sięka tur@semihalf.com

Łagodne wprowadzenie do systemów wbudowanych. Bartłomiej Sięka tur@semihalf.com Łagodne wprowadzenie do systemów wbudowanych Bartłomiej Sięka tur@semihalf.com Instytut Informatyki UJ, Kraków 24.11.2009 2/29 Plan Wstęp defnicja, zastosowania charakterystyka Sprzęt pojęcia, przykładowe

Bardziej szczegółowo

Router Fermio XL Karta katalogowa

Router Fermio XL Karta katalogowa Router Karta katalogowa v1.6 Procesor z funkcjonalnością Secure boot Zabezpieczenia przed nieautoryzowaną podmianą oprogramowania i manipulacją Bezpieczna komunikacja z systemami zdalnymi z wykorzystaniem

Bardziej szczegółowo

Wykład 3. Przegląd mikrokontrolerów 8-bit: STM8

Wykład 3. Przegląd mikrokontrolerów 8-bit: STM8 Wykład 3 Przegląd mikrokontrolerów 8-bit: - 8051 - STM8 Mikrokontrolery 8051 Rodzina 8051 wzięła się od mikrokontrolera Intel 8051 stworzonego w 1980 roku Mikrokontrolery 8051 były przez długi czas najpopularniejszymi

Bardziej szczegółowo

To nie huragan, to Cyclone II!

To nie huragan, to Cyclone II! To nie huragan, to Cyclone II! Współczesne układy FPGA oferują konstruktorom zasoby z jakich korzystać jeszcze kilka lat temu mogli tylko nieliczni. Sytuację współczesnych konstruktorów dodatkowo upraszczają

Bardziej szczegółowo

Embedded Solutions Automaticon 2012. Efektywne pomiary i sterowanie przy użyciu systemu wbudowanego MicroDAQ

Embedded Solutions Automaticon 2012. Efektywne pomiary i sterowanie przy użyciu systemu wbudowanego MicroDAQ Embedded Solutions Automaticon 2012 Efektywne pomiary i sterowanie przy użyciu systemu wbudowanego MicroDAQ Grzegorz Skiba info@embedded-solutions.pl 1 Plan seminarium Budowa systemu MicroDAQ Zastosowanie

Bardziej szczegółowo

ZL6PLD zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx

ZL6PLD zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx ZL6PLD Zestaw uruchomieniowy dla układów FPGA z rodziny Spartan 3 firmy Xilinx 1 ZL6PLD jest zestawem uruchomieniowym dla układów FPGA z rodziny Spartan 3 firmy Xilinx. Oprócz układu PLD o dużych zasobach

Bardziej szczegółowo

FPGA, CPLD, SPLD. Synteza systemów reprogramowalnych 1/27. dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 107, tel. 449 55 44

FPGA, CPLD, SPLD. Synteza systemów reprogramowalnych 1/27. dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 107, tel. 449 55 44 Synteza systemów reprogramowalnych /27 dr inż. Mariusz Kapruziak mkapruziak@wi.ps.pl pok. 07, tel. 449 55 44 FPGA, CPLD, SPLD 945 950 955 960 965 970 975 980 985 990 995 2000 0 D CLK update v cur Q Q 0

Bardziej szczegółowo

Altera MAX10: nowa generacja FPGA i jej nowe możliwości

Altera MAX10: nowa generacja FPGA i jej nowe możliwości Altera MAX10: nowa generacja FPGA i jej nowe możliwości Altera od początku swojego istnienia jest liderem na rynku układów programowalnych. W ostatnich latach oferta firmy ewoluowała w stronę zaawansowanych

Bardziej szczegółowo

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11

Spis treúci. Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1. Przedmowa... 9. Wstęp... 11 Księgarnia PWN: Krzysztof Wojtuszkiewicz - Urządzenia techniki komputerowej. Cz. 1 Spis treúci Przedmowa... 9 Wstęp... 11 1. Komputer PC od zewnątrz... 13 1.1. Elementy zestawu komputerowego... 13 1.2.

Bardziej szczegółowo

Lp. Nazwa Parametry techniczne

Lp. Nazwa Parametry techniczne Załącznik do Zaproszenia Nr sprawy 1/N/2012 Opis Przedmiotu Zamówienia Przedmiotem zamówienia jest dostawa stacjonarnych zestawów komputerowych oraz komputerów przenośnych wraz z oprogramowaniem o parametrach

Bardziej szczegółowo

Wykład 5. Architektura ARM

Wykład 5. Architektura ARM Wykład 5 Architektura ARM Plan wykładu ARM co to jest? od historii od dzisiaj Wersje architektury ARMv1 ARMv7 Rodziny obecnie w użyciu ARM7 Cortex-A9 Listy instrukcji ARM, Thumb, Thumb-2, NEON, Jazelle

Bardziej szczegółowo

Komputer PC Lenovo M57e - Cena netto 2 310,00 zł 1USD = 3,90 zł Kod produktu

Komputer PC Lenovo M57e - Cena netto 2 310,00 zł 1USD = 3,90 zł Kod produktu Komputer PC M57e - Cena netto 2 310,00 zł 1USD = 3,90 zł Nazwa produktu Producent Klasa produktu Typ obudowy komputera Typ zainstalowanego procesora Częstotliwość procesora Częstotliwość szyny FSB Pojemność

Bardziej szczegółowo

FreeBSD do zabudowy. Czyli nie tylko pecety. Rafał Jaworowski meetbsd 2007, Warszawa

FreeBSD do zabudowy. Czyli nie tylko pecety. Rafał Jaworowski meetbsd 2007, Warszawa FreeBSD do zabudowy Czyli nie tylko pecety Rafał Jaworowski raj@semihalf.com meetbsd 2007, Warszawa Szkic prezentacji Wprowadzenie do systemów wbudowanych (embedded systems) Przykłady Omówienie sytuacji

Bardziej szczegółowo

Sprawdzian test egzaminacyjny GRUPA I

Sprawdzian test egzaminacyjny GRUPA I ... nazwisko i imię ucznia Sprawdzian test egzaminacyjny GRUPA I 1. Na rys. 1 procesor oznaczony jest numerem A. 2 B. 3 C. 5 D. 8 2. Na rys. 1 karta rozszerzeń oznaczona jest numerem A. 1 B. 4 C. 6 D.

Bardziej szczegółowo

Analiza mocy obliczeniowej platform sprzętowych dla wieloosiowego sterownika napędów bezpośrednich

Analiza mocy obliczeniowej platform sprzętowych dla wieloosiowego sterownika napędów bezpośrednich Analiza mocy obliczeniowej platform sprzętowych dla wieloosiowego sterownika napędów bezpośrednich Grzegorz Góra, Piotr Mars, Maciej Petko, Grzegorz Karpiel 1. Wstęp Klasyczna architektura układu sterowania

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Magistrala. Magistrala (ang. Bus) służy do przekazywania danych, adresów czy instrukcji sterujących w różne miejsca systemu komputerowego.

Magistrala. Magistrala (ang. Bus) służy do przekazywania danych, adresów czy instrukcji sterujących w różne miejsca systemu komputerowego. Plan wykładu Pojęcie magistrali i jej struktura Architektura pamięciowo-centryczna Architektura szynowa Architektury wieloszynowe Współczesne architektury z połączeniami punkt-punkt Magistrala Magistrala

Bardziej szczegółowo

System on Chip na miarę S P R Z Ę T

System on Chip na miarę S P R Z Ę T µpsd3400 8052 na miarę System on Chip Mikrokontrolery z rodziny µpsd3000, produkowane przez STMicroelectronics, otwierają przed konstruktorami szansę spotkania się oko w oko z nowoczesną techniką projektowania,

Bardziej szczegółowo

Zaawansowane technologie w nowoczesnych układach sterowania

Zaawansowane technologie w nowoczesnych układach sterowania Zaawansowane technologie w nowoczesnych układach sterowania Leszek A. Szałek Cito Systems, Inc. 3940 Freedom Circle, Santa Clara, CA 95054, USA leszeks@citosys.com 1. Wstęp Postępujący rozwój technologii

Bardziej szczegółowo

FPGA z szybkimi interfejsami komunikacyjnymi

FPGA z szybkimi interfejsami komunikacyjnymi FPGA z szybkimi interfejsami komunikacyjnymi Podzespoły Arria II GX FPGA z szybkimi interfejsami komunikacyjnymi Dodatkowe materiały na CD i FTP Zazwyczaj w EP staramy się przedstawiać podzespoły mocno

Bardziej szczegółowo

Specyfikacja Techniczna Opis przedmiotu zamówienia

Specyfikacja Techniczna Opis przedmiotu zamówienia Specyfikacja Techniczna Opis przedmiotu zamówienia 1. Aparatura do komunikacji i zarządzania cyfrowymi układami interfejsowymi przetworników sygnałów nieelektrycznych (7 zestawów). Typ Nazwa komponentu

Bardziej szczegółowo

MAXimator. Zestaw startowy z układem FPGA z rodziny MAX10 (Altera) Partnerzy technologiczni projektu:

MAXimator. Zestaw startowy z układem FPGA z rodziny MAX10 (Altera) Partnerzy technologiczni projektu: Zestaw startowy z układem FPGA z rodziny MAX10 (Altera) MAXimator Zestaw startowy z nowoczesnym układem FPGA z rodziny Altera MAX10, wyposażony w złącze zgodne z Arduino Uno Rev 3, interfejsy wideo HDMI+CEC+DCC

Bardziej szczegółowo

REALIZACJA KONTROLERÓW

REALIZACJA KONTROLERÓW Uniwersytet Zielonogórski Wydział Elektrotechniki, Informatyki i Telekomunikacji PRACA MAGISTERSKA REALIZACJA KONTROLERÓW O PODWYŻSZONYM STOPNIU BEZPIECZEŃSTWA W FPGA O ARCHITEKTURZE Z WBUDOWANYMI PROCESORAMI

Bardziej szczegółowo

Wykorzystanie układów FPGA w implementacji systemów bezpieczeństwa sieciowego typu Firewall

Wykorzystanie układów FPGA w implementacji systemów bezpieczeństwa sieciowego typu Firewall Grzegorz Sułkowski, Maciej Twardy, Kazimierz Wiatr Wykorzystanie układów FPGA w implementacji systemów bezpieczeństwa sieciowego typu Firewall Plan prezentacji 1. Architektura Firewall a załoŝenia 2. Punktu

Bardziej szczegółowo

Charakterystyka mikrokontrolerów. Przygotowali: Łukasz Glapiński, Mateusz Kocur, Adam Kokot,

Charakterystyka mikrokontrolerów. Przygotowali: Łukasz Glapiński, Mateusz Kocur, Adam Kokot, Charakterystyka mikrokontrolerów Przygotowali: Łukasz Glapiński, 171021 Mateusz Kocur, 171044 Adam Kokot, 171075 Plan prezentacji Co to jest mikrokontroler? Historia Budowa mikrokontrolera Wykorzystywane

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Architektura komputerów PCI EXPRESS Rozwój technologii magistrali Architektura Komputerów 2 Architektura Komputerów 2006 1 Przegląd wersji PCI Wersja PCI PCI 2.0 PCI 2.1/2.2 PCI 2.3 PCI-X 1.0 PCI-X 2.0

Bardziej szczegółowo

Wprowadzenie. Wprowadzenie

Wprowadzenie. Wprowadzenie 5 PicoBlaze udostępniany przez firmę Xilinx jest procesorem, którego opis w językach HDL (ang. Hardware Description Language język opisu sprzętu) opracował Ken Chapman, inżynier tej firmy. Jest to bardzo

Bardziej szczegółowo

Budowa komputera: dr inż. Jarosław Forenc. Zestaw komputerowy Jednostka centralna. płyta główna (przykłady, standardy)

Budowa komputera: dr inż. Jarosław Forenc. Zestaw komputerowy Jednostka centralna. płyta główna (przykłady, standardy) Rok akademicki 2010/2011, Wykład nr 7 2/56 Plan wykładu nr 7 Informatyka 1 Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr II, studia niestacjonarne I stopnia Rok akademicki 2010/2011

Bardziej szczegółowo

O czym (nie) jest ta książka?

O czym (nie) jest ta książka? 10 FPGA (ang. field-programmable gate array) są układami konfigurowalnymi, w których zrealizować można praktycznie dowolny obwód elektroniki cyfrowej od prostej bramki NAND aż po układ mogący transmitować

Bardziej szczegółowo

Federacyjna e-infrastruktura dla europejskich środowisk naukowych rozwijających innowacyjne architektury sieciowe

Federacyjna e-infrastruktura dla europejskich środowisk naukowych rozwijających innowacyjne architektury sieciowe FEDERICA Federacyjna e-infrastruktura dla europejskich środowisk naukowych rozwijających innowacyjne architektury sieciowe Radek Krzywania (radek.krzywania@man.poznan.pl) Łukasz Dolata (ldolata@man.poznan.pl)

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

OPIS TECHNICZNY PRZEDMIOTU ZAMÓWIENIA

OPIS TECHNICZNY PRZEDMIOTU ZAMÓWIENIA OPIS TECHNICZNY PRZEDMIOTU ZAMÓWIENIA Załącznik nr 4 do SIWZ/ załącznik do umowy Przedmiotem zamówienia jest dostawa 2 serwerów, licencji oprogramowania wirtualizacyjnego wraz z konsolą zarządzającą oraz

Bardziej szczegółowo

Stosowanie tego urządzenia zwiększa możliwości stosowanego sprzętu jak i sofware.

Stosowanie tego urządzenia zwiększa możliwości stosowanego sprzętu jak i sofware. There are no translations available. DEVKIT8500A/D DevKit8500D DM3730 Evaluation Kit DevKit8500A AM3715 Evaluation Kit Jest to kontynuakcja świetnego DevKit8000. Stosowanie tego urządzenia zwiększa możliwości

Bardziej szczegółowo

Kierunek Inżynieria Akustyczna, V rok Programowalne Układy Cyfrowe. Platforma sprzętowa. Rajda & Kasperek 2014 Katedra Elektroniki AGH 1

Kierunek Inżynieria Akustyczna, V rok Programowalne Układy Cyfrowe. Platforma sprzętowa. Rajda & Kasperek 2014 Katedra Elektroniki AGH 1 Kierunek Inżynieria Akustyczna, V rok Programowalne Układy Cyfrowe Platforma sprzętowa Rajda & Kasperek 2014 Katedra Elektroniki AGH 1 Program wykładu Architektura układów FPGA Rodzina Xilinx Spartan-6

Bardziej szczegółowo

dr inż. Jarosław Forenc

dr inż. Jarosław Forenc Informatyka 1 Politechnika Białostocka - Wydział Elektryczny Elektrotechnika, semestr II, studia niestacjonarne I stopnia Rok akademicki 2010/2011 Wykład nr 7 (13.05.2011) Rok akademicki 2010/2011, Wykład

Bardziej szczegółowo

Wykład 4. Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430

Wykład 4. Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430 Wykład 4 Przegląd mikrokontrolerów 16-bit: - PIC24 - dspic - MSP430 Mikrokontrolery PIC Mikrokontrolery PIC24 Mikrokontrolery PIC24 Rodzina 16-bitowych kontrolerów RISC Podział na dwie podrodziny: PIC24F

Bardziej szczegółowo

prowadzący: mgr inż. Piotr Prystupiuk

prowadzący: mgr inż. Piotr Prystupiuk prowadzący: mgr inż. Piotr Prystupiuk Instytut Tele- i Radiotechniczny WARSZAWA Zaawansowane technologie teleinformatyczne i systemy informatyczne do budowy zintegrowanych platform obsługi inteligentnych

Bardziej szczegółowo

MMstm32F103Vx. Instrukcja uŝytkownika REV 1.1. Many ideas one solution

MMstm32F103Vx. Instrukcja uŝytkownika REV 1.1. Many ideas one solution MMstm32F103Vx REV 1.1 Instrukcja uŝytkownika Evalu ation Board s for 51, AVR, ST, PIC microcontrollers Sta- rter Kits Embedded Web Serve rs Prototyping Boards Minimodules for microcontrollers, etherdesigning

Bardziej szczegółowo

Doświadczenia z tworzenia systemu pomiarowo-sterującego z procesorami rodziny C2000. Leszek Dębowski Instytut Elektrotechniki Oddział w Gdańsku

Doświadczenia z tworzenia systemu pomiarowo-sterującego z procesorami rodziny C2000. Leszek Dębowski Instytut Elektrotechniki Oddział w Gdańsku Doświadczenia z tworzenia systemu pomiarowo-sterującego z procesorami rodziny C2000 Leszek Dębowski Instytut Elektrotechniki Oddział w Gdańsku PLAN PREZENTACJI Wprowadzenie Tendencje rozwojowe, nowe rodziny

Bardziej szczegółowo

Sprawdzian test egzaminacyjny 2 GRUPA I

Sprawdzian test egzaminacyjny 2 GRUPA I ... nazwisko i imię ucznia Sprawdzian test egzaminacyjny 2 GRUPA I 1. Na rys. 1 procesor oznaczony jest numerem A. 2 B. 3 C. 5 D. 8 2. Na rys. 1 karta rozszerzeń oznaczona jest numerem A. 1 B. 4 C. 6 D.

Bardziej szczegółowo

Wykład 2. Przegląd mikrokontrolerów 8-bit: -AVR -PIC

Wykład 2. Przegląd mikrokontrolerów 8-bit: -AVR -PIC Wykład 2 Przegląd mikrokontrolerów 8-bit: -AVR -PIC Mikrokontrolery AVR Mikrokontrolery AVR ATTiny Główne cechy Procesory RISC mało instrukcji, duża częstotliwość zegara Procesory 8-bitowe o uproszczonej

Bardziej szczegółowo

Architektura ARM. Materiały do wykładu. Marcin Peczarski. 19 maja 2015. Instytut Informatyki Uniwersytet Warszawski

Architektura ARM. Materiały do wykładu. Marcin Peczarski. 19 maja 2015. Instytut Informatyki Uniwersytet Warszawski 7 1 2 Materiały do wykładu Architektura ARM Marcin Peczarski Instytut Informatyki Uniwersytet Warszawski 19 maja 2015 7 1 2 1 ARM = Advanced RISC Machines Międzynarodowa firma, mająca główną siedzibę w

Bardziej szczegółowo

Opracował: Jan Front

Opracował: Jan Front Opracował: Jan Front Sterownik PLC PLC (Programowalny Sterownik Logiczny) (ang. Programmable Logic Controller) mikroprocesorowe urządzenie sterujące układami automatyki. PLC wykonuje w sposób cykliczny

Bardziej szczegółowo

PROJEKT I OPTYMALIZACJA STRUKTURY LOGICZNEJ DYDAKTYCZNEGO SYSTEMU MIKROPROCESOROWEGO DLA LABORATORIUM PROJEKTOWANIA ZINTEGROWANEGO

PROJEKT I OPTYMALIZACJA STRUKTURY LOGICZNEJ DYDAKTYCZNEGO SYSTEMU MIKROPROCESOROWEGO DLA LABORATORIUM PROJEKTOWANIA ZINTEGROWANEGO II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie PROJEKT I OPTYMALIZACJA STRUKTURY LOGICZNEJ DYDAKTYCZNEGO SYSTEMU MIKROPROCESOROWEGO DLA LABORATORIUM

Bardziej szczegółowo

NOWY OPIS TECHNICZNY PRZEDMIOTU ZAMÓWIENIA

NOWY OPIS TECHNICZNY PRZEDMIOTU ZAMÓWIENIA NOWY OPIS TECHNICZNY PRZEDMIOTU ZAMÓWIENIA Załącznik nr 4 do SIWZ/ załącznik do umowy Przedmiotem zamówienia jest dostawa 2 serwerów, licencji oprogramowania wirtualizacyjnego wraz z konsolą zarządzającą

Bardziej szczegółowo

Dane Techniczne TH ALPLAST ADS-S25

Dane Techniczne TH ALPLAST ADS-S25 Dane Techniczne komputer PC TH ALPLAST ADS-S25 Komputer ADS-S25 charakteryzuje się najwyższymi parametrami technicznymi oraz nieporównywalną niezawodnością, dzięki doświadczonej i wysoko wykwalifikowanej

Bardziej szczegółowo

ANALIZA MOCY OBLICZENIOWEJ PLATFORM SPRZĘTOWYCH DLA WIELOOSIOWEGO STEROWNIKA NAPĘDÓW BEZPOŚREDNICH

ANALIZA MOCY OBLICZENIOWEJ PLATFORM SPRZĘTOWYCH DLA WIELOOSIOWEGO STEROWNIKA NAPĘDÓW BEZPOŚREDNICH Maszyny Elektryczne - Zeszyty Problemowe Nr 3/2018 (119) 23 Grzegorz Góra, Piotr Mars, Maciej Petko, Grzegorz Karpiel AGH Akademia Górniczo-Hutnicza, Kraków ANALIZA MOCY OBLICZENIOWEJ PLATFORM SPRZĘTOWYCH

Bardziej szczegółowo

Płyty główne rodzaje. 1. Płyta główna w formacie AT

Płyty główne rodzaje. 1. Płyta główna w formacie AT Płyty główne rodzaje 1. Płyta główna w formacie AT Jest formatem płyty głównej typu serwerowego będącej następstwem płyty XT o 8-bitowej architekturze. Została stworzona w celu obsługi 16-bitowej architektury

Bardziej szczegółowo

mgr inż. Adam Korzeniewski p Katedra Systemów Multimedialnych

mgr inż. Adam Korzeniewski p Katedra Systemów Multimedialnych mgr inż. Adam Korzeniewski adamkorz@sound.eti.pg.gda.pl p. 732 - Katedra Systemów Multimedialnych Rynek procesorów sygnałowych Zestawy ewaluacyjne Miary wydajności DSP Współczesne rozwiązania Próbkowanie

Bardziej szczegółowo

PAKIET nr 12 Instytut Fizyki Teoretycznej

PAKIET nr 12 Instytut Fizyki Teoretycznej L.P. NAZWA ASORTYMENTU Opis urządzeń technicznych minimalne wymagania ILOŚĆ PAKIET nr 2 Instytut Fizyki Teoretycznej Zaoferowana gwarancja ZAOFEROWANY SPRZĘT (model i/lub parametry) CENA JEDNOSTKOWA NETTO

Bardziej szczegółowo

Sterowane adaptacyjne maszyn wieloosiowych z wykorzystaniem. Marcin Paprocki

Sterowane adaptacyjne maszyn wieloosiowych z wykorzystaniem. Marcin Paprocki Sterowane adaptacyjne maszyn wieloosiowych z wykorzystaniem elementów sztucznej inteligencji Marcin Paprocki Plan prezentacji Wstęp Błędy odzwierciedlenia zadanej trajektorii ruchu Przyczyny powstawania

Bardziej szczegółowo

Zależność czasu trwania cyklu sieci od budowy wewnętrznej koncentratora danych protokołu Modbus

Zależność czasu trwania cyklu sieci od budowy wewnętrznej koncentratora danych protokołu Modbus Zależność czasu trwania cyklu sieci od budowy wewnętrznej koncentratora danych protokołu Modbus Łukasz Herb 1. Wprowadzenie Projektując system sterowania, należy przede wszystkim określić topologię sieci,

Bardziej szczegółowo

Układy FPGA. Programowalne Układy Cyfrowe dr inż. Paweł Russek

Układy FPGA. Programowalne Układy Cyfrowe dr inż. Paweł Russek Układy FPGA Programowalne Układy Cyfrowe dr inż. Paweł Russek Program wykładu Geneza Technologia Struktura Funktory logiczne, sieć połączeń, bloki we/wy Współczesne układy FPGA Porównanie z ASIC Literatura

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Komputerowe systemy wspomagania projektowania układów cyfrowych

Komputerowe systemy wspomagania projektowania układów cyfrowych Komputerowe systemy wspomagania projektowania układów cyfrowych Mariusz Rawski rawski@tele.pw.edu.pl www.zpt.tele.pw.edu.pl/~rawski/ Z Mariusz Rawski 1 Rozwój technologii Z Logic ransistors per Chip 10000M

Bardziej szczegółowo

Siła (w) pamięci Obsługa zewnętrznych pamięci SDRAM w układach Cyclone IV firmy Altera

Siła (w) pamięci Obsługa zewnętrznych pamięci SDRAM w układach Cyclone IV firmy Altera Siła (w) pamięci Obsługa zewnętrznych pamięci SDRAM w układach Cyclone IV firmy Altera Dodatkowe informacje: są dostępne u dystrybutora firmy Altera: EBV Sp. z o.o., www.ebv.com, tel. 71 3422944. Jedną

Bardziej szczegółowo

mgr inż. Tadeusz Andrzejewski JTAG Joint Test Action Group

mgr inż. Tadeusz Andrzejewski JTAG Joint Test Action Group Użycie złącza JTAG w systemach mikroprocesorowych do testowania integralności połączeń systemu oraz oprogramowania zainstalowanego w pamięciach stałych. JTAG Joint Test Action Group mgr inż. Tadeusz Andrzejewski

Bardziej szczegółowo

ARCHITEKTURA SYSTEMÓW KOMPUTEROWYCH. Rodzaje sytuacji wyjątkowych. Przerwania definicja i przypadki. wyjątki, przerwania, I/O, struktury

ARCHITEKTURA SYSTEMÓW KOMPUTEROWYCH. Rodzaje sytuacji wyjątkowych. Przerwania definicja i przypadki. wyjątki, przerwania, I/O, struktury ARCHITETURA SYSTEMÓW OMPUTEROWYCH wyjątki, przerwania, I/O, struktury c Dr inż. Ignacy Pardyka UNIWERSYTET JANA OCHANOWSIEGO w ielcach 1 Rok akad. 2015/2016 1 Instytut Fizyki, Zakład Informatyki, e-mail:

Bardziej szczegółowo

Projektowanie układów FPGA. Żródło*6+.

Projektowanie układów FPGA. Żródło*6+. Projektowanie układów FPGA Żródło*6+. Programowalne układy logiczne W elektronice cyfrowej funkcjonują dwa trendy rozwoju: Specjalizowane układy scalone ASIC (ang. Application Specific Integrated Circuits)

Bardziej szczegółowo

Architektura systemu komputerowego

Architektura systemu komputerowego Zakres przedmiotu 1. Wstęp do systemów mikroprocesorowych. 2. Współpraca procesora z pamięcią. Pamięci półprzewodnikowe. 3. Architektura systemów mikroprocesorowych. 4. Współpraca procesora z urządzeniami

Bardziej szczegółowo

Specyfikacja podstawowa

Specyfikacja podstawowa Specyfikacja podstawowa Opis produktu HPE ProLiant ML350e Gen8 v2 Base - Xeon E5-2407V2 2.2 GHz - 4 GB - 0 GB Wysokość (jednostek w stojaku) Lokalizacja Skalowalność serwera Server - tower 5U Europa Podwójny

Bardziej szczegółowo

Załącznik nr 6- Uszczegółowienie przedmiotu zamówienia. Pakiet 1 (Warszawa ) Tabela 1. Ilość 1 sztuka

Załącznik nr 6- Uszczegółowienie przedmiotu zamówienia. Pakiet 1 (Warszawa ) Tabela 1. Ilość 1 sztuka Załącznik nr 6- Uszczegółowienie przedmiotu zamówienia Pakiet 1 (Warszawa ) Tabela 1 Komputer przenośny typu HP ProBook 430 G3 lub równoważny Lp. Kryteria równoważności (wymagania minimalne): 1. W zakresie

Bardziej szczegółowo

Wybrane bloki i magistrale komputerów osobistych (PC) Opracował: Grzegorz Cygan 2010 r. CEZ Stalowa Wola

Wybrane bloki i magistrale komputerów osobistych (PC) Opracował: Grzegorz Cygan 2010 r. CEZ Stalowa Wola Wybrane bloki i magistrale komputerów osobistych (PC) Opracował: Grzegorz Cygan 2010 r. CEZ Stalowa Wola Ogólny schemat komputera Jak widać wszystkie bloki (CPU, RAM oraz I/O) dołączone są do wspólnych

Bardziej szczegółowo

Zastosowanie emulatorów w rozbudowie systemów wbudowanych

Zastosowanie emulatorów w rozbudowie systemów wbudowanych Zastosowanie emulatorów w rozbudowie systemów wbudowanych Jan Kowalewski kowalewski.j@protonmail.com May 29, 2019 Jan Kowalewskikowalewski.j@protonmail.com Zastosowanie emulatorów w rozbudowie systemów

Bardziej szczegółowo

Procesory Blackfin. Część 1

Procesory Blackfin. Część 1 Procesory Blackfin. Część 1 Wykład 7 Projektowanie cyfrowych układów elektronicznych Mgr inż. Łukasz Kirchner lukasz.kirchner@cs.put.poznan.pl http://www.cs.put.poznan.pl/lkirchner Charakterystyka rodziny

Bardziej szczegółowo

Opis przedmiotu zamówienia. Dział II CZĘŚĆ 1 DVD

Opis przedmiotu zamówienia. Dział II CZĘŚĆ 1 DVD 1. Dysk SSD 512GB 1 sztuka Opis przedmiotu zamówienia Dział II CZĘŚĆ 1 Typ SSD Format dysku 2.5 Pojemność dysku [GB] 512 GB SATA III (6 Gb/s) Zastosowane technologie NCQ S.M.A.R.T. TRIM Szyfrowanie sprzętowe

Bardziej szczegółowo

UTK ARCHITEKTURA PROCESORÓW 80386/ Budowa procesora Struktura wewnętrzna logiczna procesora 80386

UTK ARCHITEKTURA PROCESORÓW 80386/ Budowa procesora Struktura wewnętrzna logiczna procesora 80386 Budowa procesora 80386 Struktura wewnętrzna logiczna procesora 80386 Pierwszy prawdziwy procesor 32-bitowy. Zawiera wewnętrzne 32-bitowe rejestry (omówione zostaną w modułach następnych), pozwalające przetwarzać

Bardziej szczegółowo

Bajt (Byte) - najmniejsza adresowalna jednostka informacji pamięci komputerowej, z bitów. Oznaczana jest literą B.

Bajt (Byte) - najmniejsza adresowalna jednostka informacji pamięci komputerowej, z bitów. Oznaczana jest literą B. Jednostki informacji Bajt (Byte) - najmniejsza adresowalna jednostka informacji pamięci komputerowej, składająca się z bitów. Oznaczana jest literą B. 1 kb = 1024 B (kb - kilobajt) 1 MB = 1024 kb (MB -

Bardziej szczegółowo

Część V - Serwery. UWAGA! Część V stanowi nierozerwalną całość. Ocena będzie łączna dla 4 zadań. Zadanie nr 1. SERWER BAZODANOWY KWESTURA

Część V - Serwery. UWAGA! Część V stanowi nierozerwalną całość. Ocena będzie łączna dla 4 zadań. Zadanie nr 1. SERWER BAZODANOWY KWESTURA Załącznik nr 3E do SIWZ DZP-0431-1257/2009 Część V - Serwery UWAGA! Część V stanowi nierozerwalną całość. Ocena będzie łączna dla 4 zadań Zadanie nr 1. SERWER BAZODANOWY OBUDOWA Parametr KWESTURA Wymagane

Bardziej szczegółowo

Dane Techniczne TH ALPLAST ADS-S25

Dane Techniczne TH ALPLAST ADS-S25 Dane Techniczne komputer PC TH ALPLAST ADS-S25 Komputer ADS-S25 charakteryzuje się najwyższymi parametrami technicznymi oraz nieporównywalną niezawodnością, dzięki doświadczonej i wysoko wykwalifikowanej

Bardziej szczegółowo

NXP ma nowe ARM-y. BlueStreak: co i jak

NXP ma nowe ARM-y. BlueStreak: co i jak NXP ma nowe ARM-y No właśnie: bo trudno powiedzieć, wprowadza na rynek. Firma NXP odkupiła bowiem obydwie linie mikrokontrolerów (ARM7 i ARM9) od firmy Sharp Electronics, tak więc popularne w niektórych

Bardziej szczegółowo

1 Serwer - 1 sztuka Nazwa producenta / Model : /

1 Serwer - 1 sztuka Nazwa producenta / Model : / 1 Serwer - 1 sztuka Nazwa producenta / Model : / Komponent Minimalne wymagania Parametry oferowane Obudowa -Typu Rack, wysokość 2U; -Dostarczona wraz z szynami umożliwiającymi pełne wysunięcie serwera

Bardziej szczegółowo

1. Notebook 14. Producent: ASUS Model: ASUS VivoBook S14 S410. Parametr Parametr graniczny Parametr oferowany - opisać

1. Notebook 14. Producent: ASUS Model: ASUS VivoBook S14 S410. Parametr Parametr graniczny Parametr oferowany - opisać Przetarg nr UMW / AZ / PN - 96 / 18 cz. B Umowa obowiązuje do 10.12.2019r. 1. Notebook 14 Producent: ASUS Model: ASUS VivoBook S14 S410 Parametr Parametr graniczny Parametr oferowany - opisać Procesor:

Bardziej szczegółowo

MAGISTRALE ZEWNĘTRZNE, gniazda kart rozszerzeń, w istotnym stopniu wpływają na

MAGISTRALE ZEWNĘTRZNE, gniazda kart rozszerzeń, w istotnym stopniu wpływają na , gniazda kart rozszerzeń, w istotnym stopniu wpływają na wydajność systemu komputerowego, m.in. ze względu na fakt, że układy zewnętrzne montowane na tych kartach (zwłaszcza kontrolery dysków twardych,

Bardziej szczegółowo

Projektowanie Systemów Wbudowanych

Projektowanie Systemów Wbudowanych Projektowanie Systemów Wbudowanych Podstawowe informacje o płycie DE2 Autorzy: mgr inż. Dominik Bąk i mgr inż. Leszek Ciopiński 1. Płyta DE2 Rysunek 1. Widok płyty DE2 z zaznaczonymi jej komponentami.

Bardziej szczegółowo

Architektura mikroprocesorów z rdzeniem ColdFire

Architektura mikroprocesorów z rdzeniem ColdFire Architektura mikroprocesorów z rdzeniem ColdFire 1 Rodzina procesorów z rdzeniem ColdFire Rdzeń ColdFire V1: uproszczona wersja rdzenia ColdFire V2. Tryby adresowania, rozkazy procesora oraz operacje MAC/EMAC/DIV

Bardziej szczegółowo

Programowanie Niskopoziomowe

Programowanie Niskopoziomowe Programowanie Niskopoziomowe Wykład 5: Elementy typowego komputera x86 i system we/wy Dr inż. Marek Mika Państwowa Wyższa Szkoła Zawodowa im. Jana Amosa Komeńskiego W Lesznie Plan Elementy typowego komputera

Bardziej szczegółowo