Wpływ obfuskacji na parametry techniczne projektów sprzętowych na bazie układów Altery

Wielkość: px
Rozpocząć pokaz od strony:

Download "Wpływ obfuskacji na parametry techniczne projektów sprzętowych na bazie układów Altery"

Transkrypt

1 Maciej Brzozowski 1 Politechnika Białostocka Wpływ obfuskacji na parametry techniczne projektów sprzętowych na bazie układów Altery Temat ochrony własności intelektualnej od wielu lat cieszy się dużym zainteresowaniem zarówno wśród projektantów jak i naukowców. Sama tematyka ochrony własności intelektualnej jest dosyć szeroka i łączy w sobie wiele dziedzin z zakresu techniki, prawa, jak i etyki. Podejmowana w artykule tematyka obfuskacji pozwala w efektywny sposób podnieść bezpieczeństwo informacji należącej do firmy podczas udostępniania jej osobom trzecim. Należy pamiętać, że bezpieczeństwo przedsiębiorstwa to nie tylko bezpieczeństwo informatyczne ale także bezpieczeństwo zgromadzonych danych. Odbiorcy końcowi produktów programistycznych takich, jak systemy operacyjne czy gry, przeważnie bez większego problemu potrafią stwierdzić czy ich kopia jest oryginalna czy też nie. Z projektami sprzętowymi sprawa nie jest już taka prosta. Po pierwsze właściciel projektu sprzętowego może nie wiedzieć o wyprodukowaniu czy też wprowadzeniu na rynek większej ilości zaprojektowanych przez niego układów. Po drugie użytkownik, często nieświadomie, może nabyć urządzenie naruszające własność intelektualną przez jego producenta do wykorzystywanych przez niego projektów sprzętowych. Zakupione produkty (rozumiane jako,,podróbki lub,,klony ) często są identyczne ze swoimi pierwowzorami oraz posiadają te same zabezpieczenia i oznakowania. Powyższe problemy wynikają z obecnie przyjętego modelu wytwarzania układów scalonych. Racje ekonomiczne związane z drogim procesem produkcji wymusiły rozdzielenie procesu projektowania układów cyfrowych od procesu ich produkcji. Proces produkcji układów scalonych został przeniesiony w rejony o niższym koszcie pracy takich, jak Chińska Republika Ludowa. Ze względu na coraz większe skomplikowanie projektów sprzętowych i związany z tym wzrost kosztów projektowania dużym zainteresowaniem cieszy się udostępnianie oraz wykorzystanie bloków własności intelektualnej. Wprowadzenie bloków IP (ang. Intellectual Property Cores) skraca czas projektowania a przez to obniża koszty oraz przyśpiesza czas w jakim produkt może znaleźć się w sprzedaży. Obecnie powszechne jest stosowanie bloków IP pochodzących od różnych dostawców w jednym projekcie. Sytuacja ta jest szczególnie zauważalna w przypadku projektów typu SoC (ang. System on a chip). Ze względu na dużą złożoność logiczną układów SoC projektowanie ich wszystkich komponentów od podstaw z pominięciem bloków IP jest ekonomicznie nieopłacalne. Włączanie w projekt przez licencjobiorcę bloków soft IP w postaci kodu języka opisu sprzętu (HDL - ang. Hardware Description Language) umożliwia projektantom uniezależnienie się od architektury, czy też producenta układów programowalnych oraz korzystanie z szerokiego wyboru narzędzi EDA (ang. Electronic Design Automation). Powyższe rozwiązanie pozwala również na stosowanie podczas projektowania ogólnie dostępnych symulatorów kodu HDL. Należy zwrócić uwagę na zalety bloków soft IP nad blokami hard IP, jakimi są elastyczność zastosowanych rozwiązań oraz ich pełna skalowalność. Niestety udostępnianie bloków soft IP na poziomie opisu HDL przez licencjodawcę obarczone jest wadami. Licencjobiorca lub osoba, która pozyskała komponent z pominięciem opłat licencyjnych, ma pełen wgląd w opis komponentu i może wbrew woli licencjodawcy przeanalizować jego budowę, modyfikować oraz pozyskiwać wiedzę o algorytmach użytych w bloku IP. 1 dr inż. M. Brzozowski, adiunkt, Politechnika Białostocka, Wydział Informatyki, Katedra Systemów Informacyjnych i Sieci Komputerowych. 3854

2 Odpowiedzią na powyższe zagrożenia własności intelektualnej jest obfuskacja, której zastosowanie będzie rozważane w artykule na poziomie HDL. Obfuskacja czyli zaciemnianie kodu (ang. obfuscation) jest metodą przekształcania kodu źródłowego projektu, która zachowuje jego działanie semantyczne, ale znacząco utrudnia analizę i zrozumienie. Ochrona opisu projektu za pomocą metod obfuskacyjnych zachowuje jego pełną syntezowalność (jeżeli jest to wymagane) oraz pozwala na jego symulowanie z poziomu kodu HDL. Powyższe rozwiązanie zachowuje pełną funkcjonalność bloku IP przy równoczesnej ochronie informacji na temat działania projektu. 1. Obfuskacja Obfuskacja jest intencyjnym, czyli zamierzonym wprowadzaniem modyfikacji w kodzie źródłowym opisu projektu mającym na celu ochronę własności intelektualnej przy zachowaniu jego pełnej funkcjonalności. Ze względu na powyższe działanie należy zbadać, w jakim stopniu wprowadzone zmiany mogą wpłynąć na parametry niefunkcjonalne projektu, np. na jego parametry techniczne po zsyntezowaniu. W informatyce obfuskacja jest postrzegana jako proces udostępniania jedynie funkcjonalności oprogramowania [4]. Taki opis wymagań stawianych obfuskacji jest podejściem najbardziej zrozumiałym i przejrzystym znaczeniowo. Zagadnienie obfuskacji nie formułuje metody, w jaki ma zostać zabezpieczony projekt oraz nie opisuje innych ważnych parametrów z nim związanych. Ochrona własności intelektualnej do projektów programistycznych przy pomocy metod obfuskacyjnych jest tematyką znaną i doczekała się już wielu publikacji naukowych. W przypadku zabezpieczania projektów sprzętowych metodami obfuskacyjnymi jest to tematyka nowa. Jako jeden z pierwszych temat obfuskacji projektów sprzętowych został podjęty w publikacji [1,2]. Artykuły opisują wpływ transformat obfuskacyjnych na parametry projektu - zaimplementowanego za pomocą języków opisu sprzętu - po jego zsyntezowaniu. Tematykę obfuskacji projektów sprzętowych jako kolejni podjęli Chakraborty oraz Bhunia w swojej pracy [3]. Autorzy proponują modyfikację wewnętrzną projektu, polegającą na dodaniu automatu FSM (ang. Finite State Machine) o określonej funkcji przejść, powodującego nieprawidłowe działanie układu. Na wyjściu układu pojawiać się będą nieprawidłowe wartości sygnałów do czasu, aż na wejściu nie zostanie wprowadzona wymagana sekwencja danych. Metody ochrony własności intelektualnej, podobne do metod Chakarbotego i Bahunii, zaproponowali również w roku 2008 Roy wraz ze współpracownikami [6] jako system pod nazwą EPIC (ang. Ending Piracy of Integrated Circuits). Li oraz Zhou w publikacji [7] proponują połączenie obfuskacji z cyfrowymi znakami wodnymi 2. Analiza Inżynierowie podczas projektowania układów cyfrowych na poziomie języka opisu sprzętu do opisania danej funkcjonalności używają różnych konstrukcji specyficznych dla danego języka. Narzędzie syntezujące odwzorowuje oraz optymalizuje konstrukcje językowe najpierw do poziomu RTL a następnie do poziomu logicznego. W wyniku działań opisy identyczne (równoważne) pod względem funkcjonalnym mogą różnić się parametrami technicznymi po zsyntezowaniu. Dlatego też należało zbadać w jakim stopniu narzędzie syntezujące potrafi rozpoznać zastosowane w opisie konstrukcje językowe. Najczęściej używanymi konstrukcjami podczas opisywania funkcjonalności projektu są konstrukcje warunkowe (if, elsif, when, case, for i inne), które następnie odwzorowywane są na poziomie RTL w takie konstrukcje jak np. multipleksery. Analizie zostały poddane opisy najczęściej wykorzystywanych układów kombinacyjnych takich, jak multipleksery (przeana- 3855

3 lizowanych 8 wersji opisów), demultipleksery (7 wersji), kodery (7 wersji) oraz dekodery (8 wersji). Liczba wejść danych analizowanych układów multipleksera oraz koder od 2 do 64. Liczba wyjść danych układów demultipleksera oraz dekodera od 2 do 64. Liczba przeanalizowanych opisów dała łącznie 180 rozważonych implementacji wybranych układów kombinacyjnych dla każdej jednej wybranej architektury docelowej. Opisy zostały zaimplementowane w języku opisu sprzętu VHDL. Zbiór przeanalizowanych opisów dla poszczególnych grup układów kombinacyjnych powstał na bazie różnych konstrukcji językowych takich jak case when, select, when, if, elsif, while oraz operatorów and, or. Poszczególne opisy w grupach zostały skonstruowane w taki sposób by były niepowtarzalne pod względem swojej budowy. Wygenerowanie opisów innych od przedstawionych jest możliwe, jednak ich budowa będzie powieleniem użytych wcześniej konstrukcji językowych wykorzystanych w już przedstawionych opisach. Poprawność działania opisów jak i ich ekwiwalentność funkcjonalną należało zweryfikować zarówno na poziomie opisu jak i w wybranej logice docelowej. Za logikę docelową został wybrany układ FPGA Altera EP1C12Q240C8 z rodziny Cyclone wraz ze środowiskiem syntezującym Quartus II 9.1 w wersji Web Edition. Symulacja funkcjonalna jak i testy strumienia konfiguracyjnego bezpośrednio w wybranej logice docelowej (płyta prototypowa UP3-1C12 bazująca na układzie FPGA Altera Cytclone EP1C12Q240C8) potwierdziły tożsamość funkcjonalną powyższych grup opisów układów kombinacyjnych. 3. Analiza podstawowa Wszystkie projekty zostały zsyntezowane przy użyciu tych samych domyślnych ustawień projektu zestawem programów Quartus II 9.1 w wersji Web Edition, w skład którego wchodzą wykorzystywane podczas procesu syntezy narzędzia quartus_map, quartus_fit, quartus_asm oraz quartus_sta. Podczas badań zostały oszacowane takie parametry, jak czas propagacji sygnału na krytycznej ścieżce oraz liczba wykorzystanych jednostek LUT. W tabeli 1 przedstawiono średnią wartość parametrów zmierzonych na zdefiniowanych wcześniej grupach projektów. Podane wyniki zostały poszerzone o wartość minimalną oraz maksymalną analizowanego parametru. 4. Analiza podstawowa Wszystkie projekty zostały zsyntezowane przy użyciu tych samych domyślnych ustawień projektu zestawem programów Quartus II 9.1 w wersji Web Edition, w skład którego wchodzą wykorzystywane podczas procesu syntezy narzędzia quartus_map, quartus_fit, quartus_asm oraz quartus_sta. Podczas badań zostały oszacowane takie parametry, jak czas propagacji sygnału na krytycznej ścieżce oraz liczba wykorzystanych jednostek LUT. W tabeli 1 przedstawiono średnią wartość parametrów zmierzonych na zdefiniowanych wcześniej grupach projektów. Podane wyniki zostały poszerzone o wartość minimalną oraz maksymalną analizowanego parametru. 3856

4 Tab. 1. Wpływ implementacji na parametry techniczne projektu. We /Wy Multiplekser Demultiplekser Koder Dekoder min śr. max min śr. max min śr. max min śr. max Czas propagacji LUT 2 16,08 16,08 16,08 12,57 12,57 12,57 8,78 9,66 9,81 8,89 8,97 8, ,77 17,58 18,07 15,35 15,35 15,35 12,69 13,23 13,32 13,54 13,54 13, ,39 17,52 20,55 14,06 14,06 14,06 15,59 17,71 23,65 18,88 18,88 18, ,81 18,38 20,04 17,87 18,11 18,21 17,33 26,55 35,30 12,65 12,74 13, ,92 20,36 20,84 20,17 20,78 22,31 23,70 30,33 35,80 17,11 19,07 19, ,51 24,36 25,33 21,94 22,03 22,24 23,82 34,92 38,79 18,08 20,63 22, , , , , , , , , , , , ,63 85 Wiedząc, które z analizowanych opisów poszczególnych układów kombinacyjnych uzyskują najlepsze parametry pod względem czasu propagacji na krytycznej ścieżce, można przystąpić do ich porównania. Rysunek 1 przedstawia minimalny, uśredniony oraz maksymalny czas propagacji sygnału na krytycznej ścieżce analizowanej grupy opisów multipleksera w zależności od liczby wejść danych. Jest to graficzne przedstawienie wyników zawartych w tabeli 1. Rys. 1. Logo Maksymalny czas propagacji analizowanych opisów multipleksera. Powyższy sposób analizy oraz przedstawienia wyników daje podstawy jedynie do stwierdzenia, że zachodzą zmiany poszczególnych parametrów, jednak nic nie mówi o tym, w jakim stopniu poszczególne zmiany można porównać pomiędzy sobą i w jakim stopniu uzależnione są one od liczby wejść dla poszczególnych opisów. 3857

5 Rys. 2. Czas propagacji sygnału na krytycznej ścieżce dla opisów multipleksera. Na rysunku 2 został przedstawiony minimalny oraz maksymalny czas propagacji na krytycznej ścieżce w odniesieniu do jego średniej wartości dla poszczególnej liczby wejść dla opisów multipleksera. Należy zwrócić uwagę, że zmiany poszczególnych parametrów nie zachodzą liniowo pod względem liczby wejść/wyjść analizowanej grupy opisów układów kombinacyjnych. Dotyczy to zarówno przyrostów poszczególnych parametrów, jak i zmian w zależności od średniej wartości uzależnionych od liczby wejść/wyjść w analizowanym opisie układu kombinacyjnego. Dzieje się tak, ponieważ poszczególne opisy danej struktury mogą zostać zsyntezowane w różny sposób - zależny od użytych konstrukcji językowych - i w efekcie charakteryzować się różnymi właściwościami dla poszczególnej liczby danych wejściowych/wyjściowych. 5. Analiza rozszerzona Wiedząc już, jaki wpływ mają ekwiwalentne przekształcenie po zsyntezowaniu w wybranej architekturze z rodziny Cyclone, należało rozszerzyć badania na inne obecnie popularne architektury produkcji firmy Altera. Dzięki badaniom będzie można ustalić, jakie architektury są najbardziej wrażliwe na ekwiwalentne przekształcenia opisów. Analiza winna odpowiedzieć na pytanie, w jak dużym stopniu obfuskacja opisu projektu wpływa na jego parametry techniczne. Oprogramowanie syntezujące Quartus II Web Edition od wersji 11 nie wspiera układów z rodziny Cyclone, dlatego jako baza do dalszych testów zostało wybrane oprogramowanie Quartus II 13.1 Web Edition - umożliwiło to przeprowadzenie badań dla najnowszych układów z rodziny Aria, Cyclone oraz Max. W ramach badań zostały zsyntezowane grupy opisów multipleksera, demultipleksera, kodera oraz dekodera dla piętnastu układów z rodziny MAX II, dziewięciu z rodziny MAX V, dwudziestu dziewięciu z rodziny Cyclone III oraz Cyclone III LS, dwadzieścia cztery z rodziny Cyclone IV E oraz EX, dwudziestu dwóch z rodziny Cyclone V oraz dwunastu z rodziny Aria II. Pełny spis analizowanych układów z podziałem na rodziny przedstawia tabela 2. Analizie zostały poddane 89 architektury, co łącznie dało zsyntezowanych i przeanalizowanych opisów układów kombinacyjnych (nie wliczając opisów układów kombinacyjnych przeanalizowanych dla układu z rodziny Cyclone). 3858

6 Tab. 2. Wybrane układy programowalne. MAX II MAX V Cyclone III Cyclone III LS Cyclone IV GX Cyclone V EPM1270F256A5 5M1270ZF256A5 EP3C10E144C7 EP3CLS100F484C7 EP4CGX110CF23C7 5CEBA2F17A7 EPM1270T144A5 5M1270ZT144A5 EP3C10F256C6 EP3CLS100U484C7 EP4CGX110DF27C7 5CEBA4F23C7 EPM2210F256A5 5M2210ZF256A5 EP3C10M164C7 EP3CLS150F484C7 EP4CGX110DF31C7 5CEBA5F23C7 EPM2210F324A5 5M2210ZF324C4 EP3C10U256C6 EP3CLS200F484C7 EP4CGX150CF23C7 5CEBA7F23C7 EPM240F100C4 5M240ZM100A5 EP3C120F484C7 EP3CLS70F484C7 EP4CGX22CF19C6 5CEFA2F23C6 EPM240ZM100C6 5M240ZT144A5 EP3C16E144C7 EP3CLS70U484C7 EP4CGX30CF19C6 5CEFA4F23C6 EPM570F100A5 5M570ZF256A5 EP3C16F256C6 Cyclone IV E EP4CGX30CF23C6 5CEFA5F23C6 EPM570F256C3 5M570ZM100A5 EP3C16M164C7 EP4CE10E22A7 EP4CGX50CF23C6 5CEFA7F23C6 EPM570M100C4 5M570ZT144A5 EP3C16Q240C8 EP4CE10F17A7 EP4CGX75CF23C6 5CGTFD5C5F23C7 EPM570M256C4 Cyclone IV E EP3C16U256C6 EP4CE10U14I7 EP4CGX75DF27I7 5CGTFD7B5M15C7 EPM570T100A5 EP4CE10E22A7 EP3C25F256C6 EP4CE115F23C7 Arria II GX 5CGXBC3B6F23C7 EPM570T144A5 EP4CE10F17A7 EP3C25Q240C8 EP4CE15F17A7 EP2AGX45CU17C4 5CGXBC4C6F23C7 EPM570ZM100C6 EP4CE10U14I7 EP3C25U256C6 EP4CE15F23A7 EP2AGX45CU17C6 5CGXBC5C6F23C7 EPM570ZM144C6 EP4CE115F23C7 EP3C40F324C6 EP4CE15M8I7 EP2AGX45CU17I3 5CGXBC7B6M15C7 EPM570ZM256C6 EP4CE15F17A7 EP3C40Q240C8 EP4CE30F19A7 EP2AGX45CU17I5 5CGXFC3B6F23C6 Cyclone III LS EP4CE15F23A7 EP3C55F484C6 EP4CE30F29C6 EP2AGX45DF25C4 5CGXFC4C6F23C6 EP3CLS100F484C7 EP4CE15M8I7 EP3C55U484C6 EP4CE30U19A7 EP2AGX45DF25C6 5CGXFC5C6F23C6 EP3CLS100U484C7 EP4CE30F19A7 EP3C5E144C7 EP4CE40F19A7 EP2AGX45DF25I3 5CGXFC7B6M15C6 EP3CLS150F484C7 EP4CE30F29C6 EP3C5F256C6 EP4CE55F23C6 EP2AGX45DF25I5 5CSEBA5U23A7 EP3CLS200F484C7 EP4CE30U19A7 EP3C5M164C7 EP4CE6E22A7 EP2AGX45DF29C4 5CSEMA6F31A7 EP3CLS70F484C7 EP4CE40F19A7 EP3C5U256C6 EP4CE75F23C6 EP2AGX45DF29C6 5CSTFD5D5F31I7 EP3CLS70U484C7 EP4CE55F23C6 EP3C80F484C6 EP2AGX45DF29I3 5CSXFC6C6U23A7 EP4CE75F23C6 EP4CE6E22A7 EP3C80U484C6 EP2AGX45DF29I5 Podczas analizy projektów zostały wzięte pod uwagę takie parametry jak, czas propagacji sygnału na krytycznej ścieżce oraz liczba wykorzystanych komórek LUT. Poszczególne rodziny charakteryzują się podobną architekturą pod względem wewnętrznego podziału logicznego. Każda architektura składa się z bloków logicznych LAB (ang. Logic Array Blocks), które składają się między innymi z jednostek LUT, przerzutników, (1) multiplekserów oraz innej logiki. Architektura bloków LAB jak i liczba jednostek LUT przypadająca na jeden LAB, jest różna w analizowanych architekturach. Poszczególne architektury charakteryzują się też różną liczbą wejść tablic LUT. Porównanie zmian w liczbie wykorzystanych jednostek LUT nie byłoby miarodajne pomiędzy różnymi rodzinami układów. Dlatego wyniki badań zostały przedstawione jedynie dla czasu propagacji sygnału na krytycznej ścieżce. W początkowej fazie badania zostały przeprowadzone tak, jak dla układu z rodziny Cyclone. Dla każdego układu reprogramowalnego, układu kombinacyjnego, jego wersji oraz liczby wejść/wyjść danych został oszacowany czas propagacji sygnału na krytycznej ścieżce. W następnym kroku dla każdego układu programowalnego oraz analizowanego układu kombinacyjnego został wybrany minimalny, maksymalny oraz wyliczony średni czas propagacji na krytycznej ścieżce przy jednoczesnym uwzględnieniu liczby wejść/wyjść. Na podstawie uzyskanych danych można było ustalić zmiany badanego parametru - zmiany minimalnego oraz maksymalnego czasu propagacji na krytycznej ścieżce do wartości średniej w zależności od liczby wejść/wyjść danego układu kombinacyjnego a następnie uśrednić je. 3859

7 Kolejnym etapem badań było wskazanie układu kombinacyjnego, który wykazywał najmniejsze oraz największe zmiany czasu propagacji sygnału na krytycznej śnieżce po zsyntezowaniu ekwiwalentnych opisów. W tym celu została zdefiniowana miara odległości: Przez najmniejszy wpływ należy rozumieć, że odległość dla analizowanego układu kombinacyjnego, wyliczona na podstawie uśrednionej zmiany minimalnej oraz uśrednionej zmiany maksymalnej czasu propagacji sygnału na krytycznej ścieżce była najmniejsza. Tab. 3. Najlepsze oraz najgorsze układy pod względem zmian czasu propagacji sygnału na krytycznej ścieżce. Układ Najlepszy Najgorszy kombinacyjny Układ min [%] max [%] Układ min[%] max [%] Multiplekser EPM570M100C4-2,58 1,34 EP4CE75F23C6-5,49 17,17 Demultiplekser EP2AGX45DF29I3-0,14 0,06 EP3CLS100U484C7-6,10 6,25 Koder EP2AGX45DF29C4-0,92 2,61 A5M240ZT144A5-28,09 17,00 Dekoder EP4CGX22CF19C6 0,00 0,00 A5M570ZF256A5-5,30 1,53 Tabela 3 przedstawia wytypowane na podstawie miary odległości układy reprogramowalne o najmniejszym i największym wpływie na czas propagacji sygnału na krytycznej ścieżce przy zastosowaniu ekwiwalentnych wersji opisów. Grupę o najmniejszym wpływie na ekwiwalentne przekształcenia wybranych opisów układów kombinacyjnych zdominowały układy z rodziny Arria II GX. W grupie układów o największym wpływie ekwiwalentnych przekształceń na czas propagacji sygnału znalazły się układy z rodziny MAX V. Tab. 4. Uśrednione zmiany czasu propagacji na krytycznej ścieżce analizowanych grup układów kombinacyjnych. Układ kombinacyjny Średnia minimalna [%] Średnia maksymalna [%] Odległość Multiplekser -4,27 5,06 6,62 Demultiplekser -2,27 2,34 3,26 Koder -8,43 6,02 10,36 Dekoder -1,11 0,57 1,25 Kolejnym etapem badań było wskazanie układu kombinacyjnego, który wykazywał najmniejsze oraz największe zmiany czasu propagacji sygnału na krytycznej śnieżce po zsyntezowaniu ekwiwalentnych opisów. Tabela 4 przedstawia uśrednione zmiany minimalne oraz maksymalne analizowanych grup układów kombinacyjnych. Tabela dodatkowo została rozszerzona o zdefiniowaną wcześniej odległość w celu wyrażenia wpływu ekwiwalentnych przekształceń. Układem kombinacyjnym o najmniejszym wpływie jest grupa opisów dekodera o uśrednionym minimalnym czasie propagacji na krytycznej ścieżce o 1,11% mniejszym od czasu średniego oraz o uśrednionym czasie maksymalnym o 0,57% większym od czasu średniego. Jako grupa układów kombinacyjnych, wykazująca największe zmiany związane z zastosowaniem ekwiwalentnych opisów, wykazuje grupa opisów kodera o uśrednionym minimalnym czasie o 8,43% mniejszym od czasu średniego oraz o uśrednionym czasie maksymalnym o 6,02% większym od czasu średniego, co jest jednocześnie największym pomniejszeniem oraz powiększeniem czasu propagacji na krytycznej ścieżce spośród wszystkich analizowanych grup układów kombinacyjnych. 3860

8 6. Podsumowanie Artykuł został poświęcony badaniom związanym z wpływem ekwiwalentnego opisu na parametry techniczne projektu po zsyntezowaniu takie jak czas propagacji sygnału na krytycznej ścieżce oraz obszar zajmowany w architekturze docelowej. Uśredniony maksymalny czas propagacji sygnału na krytycznej ścieżce dla wszystkich analizowanych opisów wynosi 4.02% w odniesieniu do czasu średniego, natomiast uśredniony czas minimalny 3.50% mniejszy od czasu średniego. Wynika z tego, że maksymalne zmiany czasu propagacji na krytycznej ścieżce nie są duże i dla analizowanych opisów średnia zmian nie przekracza 5%. Analiza parametrów technicznych zsyntezowanych projektów jednoznacznie potwierdza możliwość wykorzystania obfuskacji, czyli ekwiwalentnego przekształcenia opisu, w celu zabezpieczenia własności intelektualnej do projektów sprzętowych. Równoważne przekształcenie opisu za pomocą różnorodnych konstrukcji językowych, wprowadza zmiany w czasie propagacji sygnału na krytycznej ścieżce, jednak są one nieznaczne. Uśredniona zmiana czasu propagacji na krytycznej ścieżce dla wszystkich grup w wybranych architekturach wynosi poniżej 5%. Daje to podstawę do dalszych badań zastosowania transformat obfuskacyjnych w celu zabezpieczania własności intelektualnej do projektów sprzętowych. Artykuł powstał w ramach pracy własnej MB/WI/1/2014 Politechniki Białostockiej. Streszczenie W artykule, w szczególności, zwrócono uwagę na ochronę własności intelektualnej za pomocą metod obfuskacyjnych. Kolejnym etapem przedstawionych badań był ich wpływ na parametry techniczne projektu po zsyntezowaniu dla układów programowalnych produkcji firmy Altera obecnie jednego z największych dostawców rozwiązań reprogramowalnych. W artykule została wykazana możliwość zastosowania obfuskacji w ochronie projektów sprzętowych. Obfuscation impact on hardware design technical parameters based on Altera devices. Abstract In the article, in particular, highlighted the protection of intellectual property through obfuscation methods. The next phase of this research was the impact on the technical parameters of the synthesized design for programmable devices manufactured by Altera - currently one of the largest reprogrammable solution providers. The article has presented the possibility of using obfuscation in the protection of hardware designs. 7. Literatura [1] Brzozowski M., Yarmolik V. N. Obfuscation as intellectual rights protection in VHDL language. 6th International Conference on Computer Information Systems and Industrial Management Applications CISIM, strony , Los Alamitos, CA, USA, IEEE Computer Society. [2] Brzozowski M., Yarmolik V. N. VHDL obfuscation techniques for protecting intellectual property rights on design. 5th IEEE East-West Design and Test Symposium EWDTS, strony , [3] Chakraborty R. S., Bhunia S. Hardware protection and authentication through netlist level obfuscation. ICCAD 08: Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, strony , Piscataway, NJ, USA, IEEE Press. [4] Collberg C., Thomborson C., Low D. A taxonomy of obfuscating transformations. Raport instytutowy, July

9 [5] Hofheinz D., Malone-Lee J., Stam M. Obfuscation for Cryptographic Purposes. 4th Theory of Cryptography Conference, wolumen 4392 serii Lecture Notes in Computer Science, strony Springer, [6] Roy J., Koushanfar F., Markov I. Epic: Ending piracy of integrated circuits. Design, Automation and Test in Europe, strony , [7] Li L., Zhou H. Structural transformation for best-possible obfuscation of sequential circuits. IEEE Int. Symposium on Hardware-Oriented Security and Trust (HOST),

Politechnika Białostocka

Politechnika Białostocka Politechnika Białostocka Wydział Informatyki mgr inż. Maciej Brzozowski Metody obfuskacyjne w zabezpieczaniu własności intelektualnej do projektów sprzętowych streszczenie Rozprawa doktorska promotor:

Bardziej szczegółowo

Język opisu sprzętu VHDL

Język opisu sprzętu VHDL Język opisu sprzętu VHDL dr inż. Adam Klimowicz Seminarium dydaktyczne Katedra Mediów Cyfrowych i Grafiki Komputerowej Informacje ogólne Język opisu sprzętu VHDL Przedmiot obieralny dla studentów studiów

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 4 (3h) Przerzutniki, zatrzaski i rejestry w VHDL Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów

Bardziej szczegółowo

Systemy na Chipie. Robert Czerwiński

Systemy na Chipie. Robert Czerwiński Systemy na Chipie Robert Czerwiński Cel kursu Celem kursu jest zapoznanie słuchaczy ze współczesnymi metodami projektowania cyfrowych układów specjalizowanych, ze szczególnym uwzględnieniem układów logiki

Bardziej szczegółowo

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM

Spis treści 1. Wstęp 2. Ćwiczenia laboratoryjne LPM Spis treści 1. Wstęp... 9 2. Ćwiczenia laboratoryjne... 12 2.1. Środowisko projektowania Quartus II dla układów FPGA Altera... 12 2.1.1. Cel ćwiczenia... 12 2.1.2. Wprowadzenie... 12 2.1.3. Przebieg ćwiczenia...

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 2 (3h) Przełączniki, wyświetlacze, multipleksery - implementacja i obsługa w VHDL Instrukcja pomocnicza do laboratorium

Bardziej szczegółowo

Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL

Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL Realizacja bezpiecznego programowalnego sterownika logicznego z wykorzystaniem języków HDL Arkadiusz Bukowiec 1 Radosław Gąsiorek 2 Agnieszka Węgrzyn 3 Prezentowany referat przedstawia ogólną koncepcję

Bardziej szczegółowo

Katedra Mikroelektroniki i Technik Informatycznych

Katedra Mikroelektroniki i Technik Informatycznych Katedra Mikroelektroniki i Technik Informatycznych Bloki obieralne na kierunku Mechatronika rok akademicki 2013/2014 ul. Wólczańska 221/223, budynek B18 www.dmcs.p.lodz.pl Nowa siedziba Katedry 2005 2006

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 3 (4h) Konwersja i wyświetlania informacji binarnej w VHDL Instrukcja do zajęć laboratoryjnych z przedmiotu Synteza

Bardziej szczegółowo

Zakładane efekty kształcenia dla kierunku Wydział Telekomunikacji, Informatyki i Elektrotechniki

Zakładane efekty kształcenia dla kierunku Wydział Telekomunikacji, Informatyki i Elektrotechniki Jednostka prowadząca kierunek studiów Nazwa kierunku studiów Specjalności Obszar kształcenia Profil kształcenia Poziom kształcenia Forma kształcenia Tytuł zawodowy uzyskiwany przez absolwenta Dziedziny

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do obsługi platformy projektowej Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Porównanie generatorów liczb losowych wykorzystywanych w arkuszach kalkulacyjnych

Porównanie generatorów liczb losowych wykorzystywanych w arkuszach kalkulacyjnych dr Piotr Sulewski POMORSKA AKADEMIA PEDAGOGICZNA W SŁUPSKU KATEDRA INFORMATYKI I STATYSTYKI Porównanie generatorów liczb losowych wykorzystywanych w arkuszach kalkulacyjnych Wprowadzenie Obecnie bardzo

Bardziej szczegółowo

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH

METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH METODY ZINTEGROWANEGO PROJEKTOWANIA SPRZĘTU I OPROGRAMOWANIA Z WYKORZYSTANIEM NOWOCZESNYCH UKŁADÓW PROGRAMOWALNYCH Arkadiusz Bukowiec mgr inż. Agnieszka Węgrzyn Instytut Informatyki i Elektroniki, Uniwersytet

Bardziej szczegółowo

POLITECHNIKA POZNAŃSKA

POLITECHNIKA POZNAŃSKA POLITECHNIKA POZNAŃSKA INSTYTUT ELEKTROTECHNIKI I ELEKTRONIKI PRZEMYSŁOWEJ Zakład Elektrotechniki Teoretycznej i Stosowanej Laboratorium Podstaw Telekomunikacji Ćwiczenie nr 5 Rok akademicki: Wydział:

Bardziej szczegółowo

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017

LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 LABORATORIUM UKŁADÓW PROGRAMOWALNYCH Wydziałowy Zakład Metrologii Mikro- i Nanostruktur SEMESTR LETNI 2017 Prowadzący: mgr inż. Maciej Rudek email: maciej.rudek@pwr.edu.pl Pierwszy projekt w środowisku

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 8 (3h) Implementacja pamięci ROM w FPGA Instrukcja pomocnicza do laboratorium z przedmiotu Programowalne Struktury

Bardziej szczegółowo

Odniesienie do efektów kształcenia dla obszaru nauk EFEKTY KSZTAŁCENIA Symbol

Odniesienie do efektów kształcenia dla obszaru nauk EFEKTY KSZTAŁCENIA Symbol KIERUNKOWE EFEKTY KSZTAŁCENIA Wydział Informatyki i Zarządzania Kierunek studiów INFORMATYKA (INF) Stopień studiów - pierwszy Profil studiów - ogólnoakademicki Projekt v1.0 z 18.02.2015 Odniesienie do

Bardziej szczegółowo

Krótkie wprowadzenie do ModelSim i Quartus2

Krótkie wprowadzenie do ModelSim i Quartus2 Krótkie wprowadzenie do ModelSim i Quartus2 wersja 04.2011 1 Plan Oprogramowanie Pliki źródłowe Scenariusze użycia 2 Programy Programy w wersji darmowej do pobrania ze strony www.altera.com ModelSim-Altera

Bardziej szczegółowo

Analiza i projektowanie oprogramowania. Analiza i projektowanie oprogramowania 1/32

Analiza i projektowanie oprogramowania. Analiza i projektowanie oprogramowania 1/32 Analiza i projektowanie oprogramowania Analiza i projektowanie oprogramowania 1/32 Analiza i projektowanie oprogramowania 2/32 Cel analizy Celem fazy określania wymagań jest udzielenie odpowiedzi na pytanie:

Bardziej szczegółowo

Zakładane efekty kształcenia dla kierunku

Zakładane efekty kształcenia dla kierunku Zakładane efekty dla kierunku Jednostka prowadząca kierunek studiów Nazwa kierunku studiów Specjalności Obszar Profil Poziom Tytuł zawodowy uzyskiwany przez absolwenta Dziedziny nauki / sztuki i dyscypliny

Bardziej szczegółowo

Zakładane efekty kształcenia dla kierunku

Zakładane efekty kształcenia dla kierunku Zakładane efekty dla kierunku Jednostka prowadząca kierunek studiów Nazwa kierunku studiów Specjalności Obszar Profil Poziom Tytuł zawodowy uzyskiwany przez absolwenta Dziedziny nauki / sztuki i dyscypliny

Bardziej szczegółowo

Opracował: Jan Front

Opracował: Jan Front Opracował: Jan Front Sterownik PLC PLC (Programowalny Sterownik Logiczny) (ang. Programmable Logic Controller) mikroprocesorowe urządzenie sterujące układami automatyki. PLC wykonuje w sposób cykliczny

Bardziej szczegółowo

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek

Programowalne Układy Logiczne. Wykład I dr inż. Paweł Russek Programowalne Układy Logiczne Wykład I dr inż. Paweł Russek Literatura www.actel.com www.altera.com www.xilinx.com www.latticesemi.com Field Programmable Gate Arrays J.V. Oldfield, R.C. Dorf Field Programable

Bardziej szczegółowo

Dokument Detaliczny Projektu Temat: Księgarnia On-line Bukstor

Dokument Detaliczny Projektu Temat: Księgarnia On-line Bukstor Koszalin, 15.06.2012 r. Dokument Detaliczny Projektu Temat: Księgarnia On-line Bukstor Zespół projektowy: Daniel Czyczyn-Egird Wojciech Gołuchowski Michał Durkowski Kamil Gawroński Prowadzący: Dr inż.

Bardziej szczegółowo

Sposoby projektowania systemów w cyfrowych

Sposoby projektowania systemów w cyfrowych Sposoby projektowania systemów w cyfrowych Top-down Idea całości projektu Dekompozycja na mniejsze bloki Projekt i rafinacja podbloków Łączenie bloków w całość PRZYKŁAD (sumator kaskadowy) zdefiniowanie

Bardziej szczegółowo

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys.

Lista zadań nr 5. Ścieżka projektowa Realizacja każdego z zadań odbywać się będzie zgodnie z poniższą ścieżką projektową (rys. Sterowanie procesami dyskretnymi laboratorium dr inż. Grzegorz Bazydło G.Bazydlo@iee.uz.zgora.pl, staff.uz.zgora.pl/gbazydlo Lista zadań nr 5 Zagadnienia stosowanie skończonych automatów stanów (ang. Finite

Bardziej szczegółowo

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS

ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH APPLICATIONS OF FPGAS IN ENUMERATION ALGORITHMS inż. Michał HALEŃSKI Wojskowy Instytut Techniczny Uzbrojenia ZASTOSOWANIA UKŁADÓW FPGA W ALGORYTMACH WYLICZENIOWYCH Streszczenie: W artykule przedstawiono budowę oraz zasadę działania układów FPGA oraz

Bardziej szczegółowo

KIERUNKOWE EFEKTY KSZTAŁCENIA

KIERUNKOWE EFEKTY KSZTAŁCENIA WYDZIAŁ INFORMATYKI I ZARZĄDZANIA Kierunek studiów: INFORMATYKA Stopień studiów: STUDIA I STOPNIA Obszar Wiedzy/Kształcenia: OBSZAR NAUK TECHNICZNYCH Obszar nauki: DZIEDZINA NAUK TECHNICZNYCH Dyscyplina

Bardziej szczegółowo

Szkolenia specjalistyczne

Szkolenia specjalistyczne Szkolenia specjalistyczne AGENDA Język VHDL w implementacji układów cyfrowych w FPGA/CPLD poziom podstawowy GRYFTEC Embedded Systems ul. Niedziałkowskiego 24 71-410 Szczecin info@gryftec.com Szczecin 2014

Bardziej szczegółowo

Integracja systemu CAD/CAM Catia z bazą danych uchwytów obróbkowych MS Access za pomocą interfejsu API

Integracja systemu CAD/CAM Catia z bazą danych uchwytów obróbkowych MS Access za pomocą interfejsu API Dr inż. Janusz Pobożniak, pobozniak@mech.pk.edu.pl Instytut Technologii Maszyn i Automatyzacji produkcji Politechnika Krakowska, Wydział Mechaniczny Integracja systemu CAD/CAM Catia z bazą danych uchwytów

Bardziej szczegółowo

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD.

Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. LAB. 2 Projekt procesora NIOSII w strukturze programowalnego układu logicznego CYCLONEII EP2C35F672C6 podłączenie i obsługa wyświetlacza LCD. Laboratorium Mikroprocesorowych Układów Sterowania instrukcja

Bardziej szczegółowo

Efekty kształcenia dla kierunku studiów INFORMATYKA, Absolwent studiów I stopnia kierunku Informatyka WIEDZA

Efekty kształcenia dla kierunku studiów INFORMATYKA, Absolwent studiów I stopnia kierunku Informatyka WIEDZA Symbol Efekty kształcenia dla kierunku studiów INFORMATYKA, specjalność: 1) Sieciowe systemy informatyczne. 2) Bazy danych Absolwent studiów I stopnia kierunku Informatyka WIEDZA Ma wiedzę z matematyki

Bardziej szczegółowo

Elementy cyfrowe i układy logiczne

Elementy cyfrowe i układy logiczne Elementy cyfrowe i układy logiczne Wykład 5 Legenda Procedura projektowania Podział układów VLSI 2 1 Procedura projektowania Specyfikacja Napisz, jeśli jeszcze nie istnieje, specyfikację układu. Opracowanie

Bardziej szczegółowo

ID1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki stacjonarne

ID1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki stacjonarne Załącznik nr do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. KARTA MODUŁU / KARTA PRZEDMIOTU Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

[1] [2] [3] [4] [5] [6] Wiedza

[1] [2] [3] [4] [5] [6] Wiedza 3) Efekty dla studiów drugiego stopnia - profil ogólnoakademicki na kierunku Informatyka w języku angielskim (Computer Science) na specjalności Sztuczna inteligencja (Artificial Intelligence) na Wydziale

Bardziej szczegółowo

Projektowanie Systemów Wbudowanych

Projektowanie Systemów Wbudowanych Projektowanie Systemów Wbudowanych Podstawowe informacje o płycie DE2 Autorzy: mgr inż. Dominik Bąk i mgr inż. Leszek Ciopiński 1. Płyta DE2 Rysunek 1. Widok płyty DE2 z zaznaczonymi jej komponentami.

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 9 (3h) Projekt struktury hierarchicznej układu cyfrowego w FPGA. Instrukcja pomocnicza do laboratorium z przedmiotu

Bardziej szczegółowo

Sterowniki Programowalne (SP)

Sterowniki Programowalne (SP) Sterowniki Programowalne (SP) Wybrane aspekty procesu tworzenia oprogramowania dla sterownika PLC Podstawy języka funkcjonalnych schematów blokowych (FBD) Politechnika Gdańska Wydział Elektrotechniki i

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: MODELOWANIE I ANALIZA SYSTEMÓW INFORMATYCZNYCH Modeling and analysis of computer systems Kierunek: Informatyka Forma studiów: Stacjonarne Rodzaj przedmiotu: Poziom kwalifikacji: obowiązkowy

Bardziej szczegółowo

PAŃSTWOWA WYŻSZA SZKOŁA ZAWODOWA W NYSIE

PAŃSTWOWA WYŻSZA SZKOŁA ZAWODOWA W NYSIE PAŃSTWOWA WYŻSZA SZKOŁA ZAWODOWA W NYSIE Efekty uczenia się Kierunek Informatyka Studia pierwszego stopnia Profil praktyczny Umiejscowienie kierunku informatyka w obszarze kształcenia: Obszar wiedzy: nauki

Bardziej szczegółowo

Efekt kształcenia. Ma uporządkowaną, podbudowaną teoretycznie wiedzę ogólną w zakresie algorytmów i ich złożoności obliczeniowej.

Efekt kształcenia. Ma uporządkowaną, podbudowaną teoretycznie wiedzę ogólną w zakresie algorytmów i ich złożoności obliczeniowej. Efekty dla studiów pierwszego stopnia profil ogólnoakademicki na kierunku Informatyka w języku polskim i w języku angielskim (Computer Science) na Wydziale Matematyki i Nauk Informacyjnych, gdzie: * Odniesienie-

Bardziej szczegółowo

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO

SYNTEZA AUTOMATÓW SKOŃCZONYCH Z WYKORZYSTANIEM METOD KODOWANIA WIELOKROTNEGO II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 15-18 czerwca 2005, Z otniki Luba skie SNTEZA AUTOMATÓW SKOŃCZONCH Z WKORZSTANIEM METOD KODOWANIA WIELOKROTNEGO Arkadiusz Bukowiec Instytut

Bardziej szczegółowo

KIERUNKOWE EFEKTY KSZTAŁCENIA

KIERUNKOWE EFEKTY KSZTAŁCENIA WYDZIAŁ INFORMATYKI I ZARZĄDZANIA Kierunek studiów: INFORMATYKA Stopień studiów: STUDIA II STOPNIA Obszar Wiedzy/Kształcenia: OBSZAR NAUK TECHNICZNYCH Obszar nauki: DZIEDZINA NAUK TECHNICZNYCH Dyscyplina

Bardziej szczegółowo

Maciej Oleksy Zenon Matuszyk

Maciej Oleksy Zenon Matuszyk Maciej Oleksy Zenon Matuszyk Jest to proces związany z wytwarzaniem oprogramowania. Jest on jednym z procesów kontroli jakości oprogramowania. Weryfikacja oprogramowania - testowanie zgodności systemu

Bardziej szczegółowo

Nazwa przedmiotu: MODELOWANIE I ANALIZA SYSTEMÓW INFORMATYCZNYCH. Modeling and analysis of computer systems Forma studiów: Stacjonarne

Nazwa przedmiotu: MODELOWANIE I ANALIZA SYSTEMÓW INFORMATYCZNYCH. Modeling and analysis of computer systems Forma studiów: Stacjonarne Nazwa przedmiotu: MODELOWANIE I ANALIZA SYSTEMÓW INFORMATYCZNYCH Kierunek: Informatyka Modeling and analysis of computer systems Forma studiów: Stacjonarne Rodzaj przedmiotu: obowiązkowy w ramach specjalności:

Bardziej szczegółowo

Rys Schemat montażowy (moduł KL blok e) Tablica C B A F

Rys Schemat montażowy (moduł KL blok e) Tablica C B A F Ćwiczenie 30 Temat: Układy multiplekserów i demultiplekserów. Cel ćwiczenia Poznanie zasad działania multiplekserów. Budowanie multiplekserów z podstawowych bramek logicznych i układu scalonego TTL. Czytanie

Bardziej szczegółowo

SCENARIUSZ LEKCJI. Streszczenie. Czas realizacji. Podstawa programowa

SCENARIUSZ LEKCJI. Streszczenie. Czas realizacji. Podstawa programowa Autorzy scenariusza: SCENARIUSZ LEKCJI OPRACOWANY W RAMACH PROJEKTU: INFORMATYKA MÓJ SPOSÓB NA POZNANIE I OPISANIE ŚWIATA. PROGRAM NAUCZANIA INFORMATYKI Z ELEMENTAMI PRZEDMIOTÓW MATEMATYCZNO-PRZYRODNICZYCH

Bardziej szczegółowo

Rok szkolny 2015/16 Sylwester Gieszczyk. Wymagania edukacyjne w technikum. ADMINISTROWANIE BAZAMI DANYCH kl. 4c

Rok szkolny 2015/16 Sylwester Gieszczyk. Wymagania edukacyjne w technikum. ADMINISTROWANIE BAZAMI DANYCH kl. 4c Wymagania edukacyjne w technikum ADMINISTROWANIE BAZAMI DANYCH kl. 4c Lp. 1 2 4 5 Temat Zasady dotyczące zarządzania projektem podczas prac związanych z tworzeniem bazy oraz cykl życiowy bazy Modele tworzenia

Bardziej szczegółowo

Dodatkowo planowane jest przeprowadzenie oceny algorytmów w praktycznym wykorzystaniu przez kilku niezależnych użytkowników ukończonej aplikacji.

Dodatkowo planowane jest przeprowadzenie oceny algorytmów w praktycznym wykorzystaniu przez kilku niezależnych użytkowników ukończonej aplikacji. Spis Treści 1. Wprowadzenie... 2 1.1 Wstęp... 2 1.2 Cel pracy... 2 1.3 Zakres pracy... 2 1.4 Użyte technologie... 2 1.4.1 Unity 3D... 3 2. Sztuczna inteligencja w grach komputerowych... 4 2.1 Zadanie sztucznej

Bardziej szczegółowo

KIERUNKOWE EFEKTY KSZTAŁCENIA

KIERUNKOWE EFEKTY KSZTAŁCENIA WYDZIAŁ INFORMATYKI I ZARZĄDZANIA Kierunek studiów: INFORMATYKA Stopień studiów: STUDIA I STOPNIA Obszar Wiedzy/Kształcenia: OBSZAR NAUK TECHNICZNYCH Obszar nauki: DZIEDZINA NAUK TECHNICZNYCH Dyscyplina

Bardziej szczegółowo

2. SYNTEZA UKŁADÓW LOGICZNYCH REALIZOWANYCH NA BAZIE DEMULTIFLEK3ERÓW

2. SYNTEZA UKŁADÓW LOGICZNYCH REALIZOWANYCH NA BAZIE DEMULTIFLEK3ERÓW ZESZYTY NAUKOWE POLITECHNIKI ŁĘSKIEJ 1975 Serias Automatyka z. 34 Nr kol. 456 Ferdynand Wagner Liarla n Budka Instytut Automatyki Przemysłowej i Pomiarów SYNTEZA UKŁADÓW LOGICZNYCH REALIZOWANYCH HA BAZIE

Bardziej szczegółowo

Metody optymalizacji soft-procesorów NIOS

Metody optymalizacji soft-procesorów NIOS POLITECHNIKA WARSZAWSKA Wydział Elektroniki i Technik Informacyjnych Instytut Telekomunikacji Zakład Podstaw Telekomunikacji Kamil Krawczyk Metody optymalizacji soft-procesorów NIOS Warszawa, 27.01.2011

Bardziej szczegółowo

KIERUNKOWE EFEKTY KSZTAŁCENIA

KIERUNKOWE EFEKTY KSZTAŁCENIA KIERUNKOWE EFEKTY KSZTAŁCENIA WYDZIAŁ INFORMATYKI I ZARZĄDZANIA Kierunek studiów: INFORMATYKA Stopień studiów: STUDIA II STOPNIA Obszar Wiedzy/Kształcenia: OBSZAR NAUK TECHNICZNYCH Obszar nauki: DZIEDZINA

Bardziej szczegółowo

Większe możliwości dzięki LabVIEW 2009: programowanie równoległe, technologie bezprzewodowe i funkcje matematyczne w systemach czasu rzeczywistego

Większe możliwości dzięki LabVIEW 2009: programowanie równoległe, technologie bezprzewodowe i funkcje matematyczne w systemach czasu rzeczywistego Większe możliwości dzięki LabVIEW 2009: programowanie równoległe, technologie bezprzewodowe i funkcje matematyczne w systemach czasu rzeczywistego Dziś bardziej niż kiedykolwiek narzędzia używane przez

Bardziej szczegółowo

Teraz bajty. Informatyka dla szkół ponadpodstawowych. Zakres rozszerzony. Część 1.

Teraz bajty. Informatyka dla szkół ponadpodstawowych. Zakres rozszerzony. Część 1. Teraz bajty. Informatyka dla szkół ponadpodstawowych. Zakres rozszerzony. Część 1. Grażyna Koba MIGRA 2019 Spis treści (propozycja na 2*32 = 64 godziny lekcyjne) Moduł A. Wokół komputera i sieci komputerowych

Bardziej szczegółowo

Zał nr 4 do ZW. Dla grupy kursów zaznaczyć kurs końcowy. Liczba punktów ECTS charakterze praktycznym (P)

Zał nr 4 do ZW. Dla grupy kursów zaznaczyć kurs końcowy. Liczba punktów ECTS charakterze praktycznym (P) Zał nr 4 do ZW WYDZIAŁ PODSTAWOWYCH PROBLEMÓW TECHNIKI KARTA PRZEDMIOTU Nazwa w języku polskim : Systemy Wbudowane Nazwa w języku angielskim : Embedded Systems Kierunek studiów : Informatyka Specjalność

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (2h) Wprowadzenie do oprogramowanie EDA wspomagającego syntezę układów cyfrowych (Quartus II) Instrukcja do

Bardziej szczegółowo

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 1 (3h) Wprowadzenie do systemu Quartus II

Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki. ĆWICZENIE Nr 1 (3h) Wprowadzenie do systemu Quartus II Politechnika Białostocka Wydział Elektryczny Katedra Automatyki i Elektroniki ĆWICZENIE Nr 1 (3h) Wprowadzenie do systemu Quartus II Instrukcja pomocnicza do laboratorium z przedmiotu Synteza układów cyfrowych

Bardziej szczegółowo

Co to jest jest oprogramowanie? 8. Co to jest inżynieria oprogramowania? 9. Jaka jest różnica pomiędzy inżynierią oprogramowania a informatyką?

Co to jest jest oprogramowanie? 8. Co to jest inżynieria oprogramowania? 9. Jaka jest różnica pomiędzy inżynierią oprogramowania a informatyką? ROZDZIAŁ1 Podstawy inżynierii oprogramowania: - Cele 2 - Zawartość 3 - Inżynieria oprogramowania 4 - Koszty oprogramowania 5 - FAQ o inżynierii oprogramowania: Co to jest jest oprogramowanie? 8 Co to jest

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: PROJEKTOWANIE SYSTEMÓW INFORMATYCZNYCH I KARTA PRZEDMIOTU CEL PRZEDMIOTU PRZEWODNIK PO PRZEDMIOCIE C1. Podniesienie poziomu wiedzy studentów z inżynierii oprogramowania w zakresie C.

Bardziej szczegółowo

PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH PROGRAMOWALNYCH

PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH PROGRAMOWALNYCH II Konferencja Naukowa KNWS'05 "Informatyka- sztuka czy rzemios o" 5-8 czerwca 005, Z otniki Luba skie PROJEKTOWANIE UKŁADÓW MIKROPROGRAMOWANYCH Z WYKORZYSTANIEM WBUDOWANYCH BLOKÓW PAMIĘCI W MATRYCACH

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Jednostki obliczeniowe w zastosowaniach mechatronicznych Kierunek: Mechatronika Rodzaj przedmiotu: dla specjalności Systemy Sterowania Rodzaj zajęć: Wykład, laboratorium Computational

Bardziej szczegółowo

Cyfrowe układy scalone c.d. funkcje

Cyfrowe układy scalone c.d. funkcje Cyfrowe układy scalone c.d. funkcje Ryszard J. Barczyński, 206 Politechnika Gdańska, Wydział FTiMS, Katedra Fizyki Ciała Stałego Materiały dydaktyczne do użytku wewnętrznego Kombinacyjne układy cyfrowe

Bardziej szczegółowo

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie

Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Technika Cyfrowa 2 wykład 1: programowalne struktury logiczne - wprowadzenie Dr inż. Jacek Mazurkiewicz Katedra Informatyki Technicznej e-mail: Jacek.Mazurkiewicz@pwr.edu.pl Sprawy formalne konsultacje,

Bardziej szczegółowo

UCHWAŁA NR 46/2013. Senatu Akademii Marynarki Wojennej im. Bohaterów Westerplatte z dnia 19 września 2013 roku

UCHWAŁA NR 46/2013. Senatu Akademii Marynarki Wojennej im. Bohaterów Westerplatte z dnia 19 września 2013 roku UCHWAŁA NR 46/2013 Senatu Akademii Marynarki Wojennej im. Bohaterów Westerplatte z dnia 19 września 2013 roku w sprawie: korekty efektów kształcenia dla kierunku informatyka Na podstawie ustawy z dnia

Bardziej szczegółowo

PROGRAMOWALNE STEROWNIKI LOGICZNE

PROGRAMOWALNE STEROWNIKI LOGICZNE PROGRAMOWALNE STEROWNIKI LOGICZNE I. Wprowadzenie Klasyczna synteza kombinacyjnych i sekwencyjnych układów sterowania stosowana do automatyzacji dyskretnych procesów produkcyjnych polega na zaprojektowaniu

Bardziej szczegółowo

Modelowanie złożonych układów cyfrowych (1)

Modelowanie złożonych układów cyfrowych (1) Modelowanie złożonych układów cyfrowych () funkcje i procedury przykłady (przerzutniki, rejestry) style programowania kombinacyjne bloki funkcjonalne bufory trójstanowe multipleksery kodery priorytetowe

Bardziej szczegółowo

Odniesienie symbol II/III [1] [2] [3] [4] [5] Efekt kształcenia. Wiedza

Odniesienie symbol II/III [1] [2] [3] [4] [5] Efekt kształcenia. Wiedza Efekty dla studiów drugiego stopnia profil ogólnoakademicki na kierunku Inżynieria i Analiza Danych prowadzonym przez Wydział Matematyki i Nauk Informacyjnych Użyte w poniższej tabeli: 1) w kolumnie 4

Bardziej szczegółowo

Komputerowe Systemy Przemysłowe: Modelowanie - UML. Arkadiusz Banasik arkadiusz.banasik@polsl.pl

Komputerowe Systemy Przemysłowe: Modelowanie - UML. Arkadiusz Banasik arkadiusz.banasik@polsl.pl Komputerowe Systemy Przemysłowe: Modelowanie - UML Arkadiusz Banasik arkadiusz.banasik@polsl.pl Plan prezentacji Wprowadzenie UML Diagram przypadków użycia Diagram klas Podsumowanie Wprowadzenie Języki

Bardziej szczegółowo

Algorytm poprawny jednoznaczny szczegółowy uniwersalny skończoność efektywność (sprawność) zmiennych liniowy warunkowy iteracyjny

Algorytm poprawny jednoznaczny szczegółowy uniwersalny skończoność efektywność (sprawność) zmiennych liniowy warunkowy iteracyjny Algorytm to przepis; zestawienie kolejnych kroków prowadzących do wykonania określonego zadania; to uporządkowany sposób postępowania przy rozwiązywaniu zadania, problemu, z uwzględnieniem opisu danych

Bardziej szczegółowo

EFEKTY UCZENIA SIĘ DLA KIERUNKU INŻYNIERIA DANYCH W ODNIESIENIU DO EFEKTÓW UCZENIA SIĘ PRK POZIOM 6

EFEKTY UCZENIA SIĘ DLA KIERUNKU INŻYNIERIA DANYCH W ODNIESIENIU DO EFEKTÓW UCZENIA SIĘ PRK POZIOM 6 EFEKTY UCZENIA SIĘ DLA KIERUNKU INŻYNIERIA DANYCH W ODNIESIENIU DO EFEKTÓW UCZENIA SIĘ PRK POZIOM 6 studia pierwszego stopnia o profilu ogólnoakademickim Symbol K_W01 Po ukończeniu studiów pierwszego stopnia

Bardziej szczegółowo

Karta przedmiotu. obowiązuje studentów rozpoczynających studia w roku akademickim 2016/2017. Forma studiów: Niestacjonarne Kod kierunku: 11.

Karta przedmiotu. obowiązuje studentów rozpoczynających studia w roku akademickim 2016/2017. Forma studiów: Niestacjonarne Kod kierunku: 11. Państwowa Wyższa Szko la Zawodowa w Nowym Sa czu Karta przedmiotu Instytut Techniczny obowiązuje studentów rozpoczynających studia w roku akademickim 206/207 Kierunek studiów: Informatyka Profil: Praktyczny

Bardziej szczegółowo

Załącznik Nr 5 do Zarz. Nr 33/11/12

Załącznik Nr 5 do Zarz. Nr 33/11/12 Załącznik Nr 5 do Zarz. Nr 33/11/12 (pieczęć wydziału) KARTA PRZEDMIOTU Z1-PU7 WYDANIE N1 Strona 1 z 5 1. Nazwa przedmiotu: ZAAWANSOWANE PROGRAMOWANIE STEROWNIKÓW PRZEMYSŁOWYCH 3. Karta przedmiotu ważna

Bardziej szczegółowo

Bramki logiczne Podstawowe składniki wszystkich układów logicznych

Bramki logiczne Podstawowe składniki wszystkich układów logicznych Układy logiczne Bramki logiczne A B A B AND NAND A B A B OR NOR A NOT A B A B XOR NXOR A NOT A B AND NAND A B OR NOR A B XOR NXOR Podstawowe składniki wszystkich układów logicznych 2 Podstawowe tożsamości

Bardziej szczegółowo

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014

Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Lista tematów na kolokwium z wykładu z Techniki Cyfrowej w roku ak. 2013/2014 Temat 1. Algebra Boole a i bramki 1). Podać przykład dowolnego prawa lub tożsamości, które jest spełnione w algebrze Boole

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

<Nazwa firmy> <Nazwa projektu> Specyfikacja dodatkowa. Wersja <1.0>

<Nazwa firmy> <Nazwa projektu> Specyfikacja dodatkowa. Wersja <1.0> Wersja [Uwaga: Niniejszy wzór dostarczony jest w celu użytkowania z Unified Process for EDUcation. Tekst zawarty w nawiasach kwadratowych i napisany błękitną kursywą

Bardziej szczegółowo

Laboratorium przedmiotu Technika Cyfrowa

Laboratorium przedmiotu Technika Cyfrowa Laboratorium przedmiotu Technika Cyfrowa ćw.3 i 4: Asynchroniczne i synchroniczne automaty sekwencyjne 1. Implementacja asynchronicznych i synchronicznych maszyn stanu w języku VERILOG: Maszyny stanu w

Bardziej szczegółowo

Technika cyfrowa i mikroprocesorowa. Zaliczenie na ocenę. Zaliczenie na ocenę

Technika cyfrowa i mikroprocesorowa. Zaliczenie na ocenę. Zaliczenie na ocenę I. KARTA PRZEDMIOTU Nazwa przedmiotu/modułu: Nazwa angielska: Kierunek studiów: Poziom studiów: Profil studiów: Jednostka prowadząca: Technika cyfrowa i mikroprocesorowa Edukacja techniczno-informatyczna

Bardziej szczegółowo

Projektowanie scalonych systemów wbudowanych VERILOG. VERLIOG - historia

Projektowanie scalonych systemów wbudowanych VERILOG. VERLIOG - historia Projektowanie scalonych systemów wbudowanych VERILOG VERLIOG - historia Początki lat 80 XX w. Phil Moorby Gateway Design Automation symulator Verilog XL 1987 Synopsys Verilog jako język specyfikacji projektu

Bardziej szczegółowo

Efekty kształcenia wymagane do podjęcia studiów 2 stopnia na kierunku Informatyka

Efekty kształcenia wymagane do podjęcia studiów 2 stopnia na kierunku Informatyka Efekty kształcenia wymagane do podjęcia studiów 2 stopnia na kierunku Informatyka Test kwalifikacyjny obejmuje weryfikację efektów kształcenia oznaczonych kolorem szarym, efektów: K_W4 (!), K_W11-12, K_W15-16,

Bardziej szczegółowo

zna metody matematyczne w zakresie niezbędnym do formalnego i ilościowego opisu, zrozumienia i modelowania problemów z różnych

zna metody matematyczne w zakresie niezbędnym do formalnego i ilościowego opisu, zrozumienia i modelowania problemów z różnych Grupa efektów kierunkowych: Matematyka stosowana I stopnia - profil praktyczny (od 17 października 2014) Matematyka Stosowana I stopień spec. Matematyka nowoczesnych technologii stacjonarne 2015/2016Z

Bardziej szczegółowo

IZ1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki niestacjonarne

IZ1UAL1 Układy arytmetyczno-logiczne Arithmetic logic systems. Informatyka I stopień ogólnoakademicki niestacjonarne KARTA MODUŁU / KARTA PRZEDMIOTU Załącznik nr 7 do Zarządzenia Rektora nr 10/12 z dnia 21 lutego 2012r. Kod modułu Nazwa modułu Nazwa modułu w języku angielskim Obowiązuje od roku akademickiego 2012/2013

Bardziej szczegółowo

Metodyka projektowania komputerowych systemów sterowania

Metodyka projektowania komputerowych systemów sterowania Metodyka projektowania komputerowych systemów sterowania Andrzej URBANIAK Metodyka projektowania KSS (1) 1 Projektowanie KSS Analiza wymagań Opracowanie sprzętu Projektowanie systemu Opracowanie oprogramowania

Bardziej szczegółowo

Model referencyjny doboru narzędzi Open Source dla zarządzania wymaganiami

Model referencyjny doboru narzędzi Open Source dla zarządzania wymaganiami Politechnika Gdańska Wydział Zarządzania i Ekonomii Katedra Zastosowań Informatyki w Zarządzaniu Zakład Zarządzania Technologiami Informatycznymi Model referencyjny Open Source dla dr hab. inż. Cezary

Bardziej szczegółowo

EFEKTY KSZTAŁCENIA DLA KIERUNKU STUDIÓW INFORMATYKA

EFEKTY KSZTAŁCENIA DLA KIERUNKU STUDIÓW INFORMATYKA EFEKTY KSZTAŁCENIA DLA KIERUNKU STUDIÓW INFORMATYKA poziom kształcenia profil kształcenia tytuł zawodowy uzyskiwany przez absolwenta studia drugiego stopnia ogólnoakademicki magister inżynier 1. Umiejscowienie

Bardziej szczegółowo

2) opisu i analizy działania systemów elektronicznych, w tym systemów zawierających układy programowalne;

2) opisu i analizy działania systemów elektronicznych, w tym systemów zawierających układy programowalne; 1 Tabela odniesień efektów kierunkowych do efektów obszarowych Nazwa kierunku studiów: Elektronika i telekomunikacja Poziom kształcenia: I stopnia Profil kształcenia: ogólnoakademicki Symbol kierunkowych

Bardziej szczegółowo

Kombinacyjne bloki funkcjonalne

Kombinacyjne bloki funkcjonalne Sławomir Kulesza Technika cyfrowa Kombinacyjne bloki funkcjonalne Wykład dla studentów III roku Informatyki Wersja., 5//2 Bloki cyfrowe Blok funkcjonalny to układ cyfrowy utworzony z pewnej liczby elementów

Bardziej szczegółowo

PRZEWODNIK PO PRZEDMIOCIE

PRZEWODNIK PO PRZEDMIOCIE Nazwa przedmiotu: Systemy Informatyczne w wytwarzaniu materiałów IT Systems in Materials Produce Kierunek: Kod przedmiotu: Zarządzanie i Inżynieria Produkcji ZiP2.G8.D8K.06 Management and Production Engineering

Bardziej szczegółowo

Adaptive wavelet synthesis for improving digital image processing

Adaptive wavelet synthesis for improving digital image processing for improving digital image processing Politechnika Łódzka Wydział Fizyki Technicznej, Informatyki i Matematyki Stosowanej 4 listopada 2010 Plan prezentacji 1 Wstęp 2 Dyskretne przekształcenie falkowe

Bardziej szczegółowo

Informatyka, studia I stopnia (profil ogólnoakademicki) - wersja

Informatyka, studia I stopnia (profil ogólnoakademicki) - wersja Informatyka, studia I stopnia (profil ogólnoakademicki) - wersja 120327 Obszar kształcenia: nauki techniczne. Dziedzina: nauki techniczne. Dyscyplina: Informatyka. MNiSW WI PP Symb. Efekty kształcenia

Bardziej szczegółowo

AUTOMATYZACJA PROCESU PROJEKTOWANIA RUR GIĘTYCH W OPARCIU O PARAMETRYCZNY SYSTEM CAD

AUTOMATYZACJA PROCESU PROJEKTOWANIA RUR GIĘTYCH W OPARCIU O PARAMETRYCZNY SYSTEM CAD mgr inż. Przemysław Zawadzki, email: przemyslaw.zawadzki@put.poznan.pl, mgr inż. Maciej Kowalski, email: e-mail: maciejkow@poczta.fm, mgr inż. Radosław Wichniarek, email: radoslaw.wichniarek@put.poznan.pl,

Bardziej szczegółowo

Usługa: Audyt kodu źródłowego

Usługa: Audyt kodu źródłowego Usługa: Audyt kodu źródłowego Audyt kodu źródłowego jest kompleksową usługą, której głównym celem jest weryfikacja jakości analizowanego kodu, jego skalowalności, łatwości utrzymania, poprawności i stabilności

Bardziej szczegółowo

Modelowanie jako sposób opisu rzeczywistości. Katedra Mikroelektroniki i Technik Informatycznych Politechnika Łódzka

Modelowanie jako sposób opisu rzeczywistości. Katedra Mikroelektroniki i Technik Informatycznych Politechnika Łódzka Modelowanie jako sposób opisu rzeczywistości Katedra Mikroelektroniki i Technik Informatycznych Politechnika Łódzka 2015 Wprowadzenie: Modelowanie i symulacja PROBLEM: Podstawowy problem z opisem otaczającej

Bardziej szczegółowo

Monitorowanie i zarządzanie urządzeniami sieciowymi przy pomocy narzędzi Net-SNMP

Monitorowanie i zarządzanie urządzeniami sieciowymi przy pomocy narzędzi Net-SNMP Uniwersytet Mikołaja Kopernika w Toruniu Wydział Matematyki i Informatyki Wydział Fizyki, Astronomii i Informatyki Stosowanej Szymon Klimuk Nr albumu: 187408 Praca magisterska na kierunku Informatyka Monitorowanie

Bardziej szczegółowo

Załącznik Nr 5 do Zarz. Nr 33/11/12

Załącznik Nr 5 do Zarz. Nr 33/11/12 Załącznik Nr 5 do Zarz. Nr 33/11/12 (pieczęć wydziału) KARTA PRZEDMIOTU Z1-PU7 WYDANIE N1 Strona 1 z 5 1. Nazwa przedmiotu: ZAAWANSOWANE PROGRAMOWANIE STEROWNIKÓW PRZEMYSŁOWYCH 3. Karta przedmiotu ważna

Bardziej szczegółowo

Projektowanie układów FPGA. Żródło*6+.

Projektowanie układów FPGA. Żródło*6+. Projektowanie układów FPGA Żródło*6+. Programowalne układy logiczne W elektronice cyfrowej funkcjonują dwa trendy rozwoju: Specjalizowane układy scalone ASIC (ang. Application Specific Integrated Circuits)

Bardziej szczegółowo

Wytwórstwo oprogramowania. michał możdżonek

Wytwórstwo oprogramowania. michał możdżonek Wytwórstwo oprogramowania michał możdżonek 01.2008 Plan wykładu 1. Proces tworzenie oprogramowania 2. Zarządzanie projektami 3. Wymagania 4. Projektowanie 5. Testowanie 6. Szacowanie złożoności i kosztu

Bardziej szczegółowo

Bezpieczeństwo informacji oparte o kryptografię kwantową

Bezpieczeństwo informacji oparte o kryptografię kwantową WYŻSZA SZKOŁA BIZNESU W DĄBROWIE GÓRNICZEJ WYDZIAŁ ZARZĄDZANIA INFORMATYKI I NAUK SPOŁECZNYCH Instrukcja do laboratorium z przedmiotu: Bezpieczeństwo informacji oparte o kryptografię kwantową Instrukcja

Bardziej szczegółowo

Architektura komputerów

Architektura komputerów Wykład jest przygotowany dla IV semestru kierunku Elektronika i Telekomunikacja. Studia I stopnia Dr inż. Małgorzata Langer Architektura komputerów Prezentacja multimedialna współfinansowana przez Unię

Bardziej szczegółowo